ISO-10303-21海德由STEP工具公司生成file_description (/*描述*/yabo体育首页FILE_NAME*/C:S-Line_15HP_SM.stpFILE_SCHEMAEndscripteDATA系统#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#9849,#9850, #9851,#9852,#9853,#9854,#9855,#9856,#9857,#9858,#9859,#9860,#9861,#9862, #9863,#9864,#9865,#9866,#9867,#9868,#9869,#9870,#9871,#9872,#9873,#9874, #9875,#9876,#9877,#9878,#9879,#9880,#9881,#9882,#9883,#9884,#9885,#9886, #9887,#9888,#9889,#9890,#9891,#9892,#9893,#9894,#9895,#9896,#9897,#9898, #9899,#9900,#9901,#9902,#9903,#9904,#9905,#9906,#9907,#9908,#9909,#9910, #9911,#9912,#9913,#9914,#9915,#9916,#9917,#9918,#9919,#9920,#9921,#9922, #9923,#9924,#9925,#9926,#9927,#9928,#9929,#9930,#9931,#9932,#9933,#9934, #9935,#9936,#9937,#9938,#9939,#9940,#9941,#9942,#9943,#9944,#9945,#9946, #9947,#9948,#9949,#9950,#9951,#9952,#9953,#9954,#9955,#9956,#9957,#9958, #9959,#9960,#9961,#9962,#9963,#9964,#9965,#9966,#9967,#9968,#9969,#9970, #9971,#9972,#9973,#9974,#9975,#9976,#9977,#9978,#9979,#9980,#9981,#9982, #9983,#9984,#9985,#9986,#9987,#9988,#9989,#9990,#9991,#9992,#9993,#9994, #9995,#9996,#9997,#9998,#9999,#10000,#10001,#10002,#10003,#10004,#10005, #10006,#10007,#10008,#10009,#10010,#10011,#10012,#10013,#10014,#10015,#10016, #10017,#10018,#10019,#10020,#10021,#10022,#10023,#10024,#10025,#10026,#10027, #10028,#10029,#10030,#10031,#10032,#10033,#10034,#10035,#10036,#10037,#10038, #10039,#10040,#10041,#10042,#10043,#10044,#10045,#10046,#10047,#10048,#10049, #10050,#10051,#10052,#10053,#10054,#10055,#10056,#10057,#10058,#10059,#10060, #10061,#10062,#10063,#10064,#10065,#10066,#10067,#10068,#10069,#10070,#10071, #10072,#10073,#10074,#10075,#10076,#10077,#10078,#10079,#10080,#10081,#10082, #10083,#10084,#10085,#10086,#10087,#10088,#10089,#10090,#10091,#10092,#10093, #10094,#10095,#10096,#10097,#10098,#10099,#10100,#10101,#10102,#10103,#10104, #10105,#10106,#10107,#10108,#10109,#10110,#10111,#10112,#10113,#10114,#10115, #10116,#10117,#10118,#10119,#10120,#10121,#10122,#10123,#10124,#10125,#10126, #10127,#10128,#10129,#10130,#10131,#10132,#10133,#10134,#10135,#10136,#10137, #10138,#10139,#10140,#10141,#10142,#10143,#10144,#10145,#10146,#10147,#10148, #10149,#10150,#10151,#10152,#10153,#10154,#10155,#10156,#10157,#10158,#10159, #10160,#10161,#10162,#10163,#10164,#10165,#10166,#10167,#10168,#10169,#10170, #10171,#10172,#10173,#10174,#10175,#10176,#10177,#10178,#10179,#10180,#10181, #10182,#10183,#10184,#10185,#10186,#10187,#10188,#10189,#10190,#10191,#10192, #10193,#10194,#10195,#10196),#19176)!#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#19186,#12)!#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#19175)!#13=MANIFOLD_SOLID_BREP('Solid1',#10788)!#14=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#18844,#18845,#18846),(#18847,#18848,#18849),(#18850, #18851,#18852)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-4.44089209850068E-16,1.57079632679492), (1.5707963267949,3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.),(0.707106781186535, 0.499999999999991,0.707106781186535),(1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() )!#15=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#18853,#18854,#18855),(#18856,#18857,#18858),(#18859, #18860,#18861)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(-1.5707963267949, 2.22044604925031E-16),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186561, 0.50000000000001,0.707106781186561),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() )!#16=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#18867,#18868,#18869),(#18870,#18871,#18872),(#18873, #18874,#18875)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.57079632679492,4.44089209850068E-16), (1.5707963267949,3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.),(0.707106781186535, 0.499999999999991,0.707106781186535),(1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() )!#17=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#18876,#18877,#18878),(#18879,#18880,#18881),(#18882, #18883,#18884)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.,1.5707963267949),(-1.5707963267949, 2.22044604925033E-16),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186543, 0.499999999999997,0.707106781186543),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() )!#18=TOROIDAL_SURFACE('',#11015,1.0475,0.045)!#19=TOROIDAL_SURFACE('',#11027,1.18719919726799,0.031)!#20=TOROIDAL_SURFACE('',#11040,1.03080080273201,0.031)!#21=TOROIDAL_SURFACE('',#11053,1.109,0.047)!#22=TOROIDAL_SURFACE('',#11065,1.2975,0.045)!#23=TOROIDAL_SURFACE('',#11075,1.46869919726799,0.031)!#24=TOROIDAL_SURFACE('',#11088,1.31230080273201,0.031)!#25=TOROIDAL_SURFACE('',#11101,1.3905,0.047)!#26=TOROIDAL_SURFACE('',#11110,0.275,0.025)!#27=TOROIDAL_SURFACE('',#11145,0.275,0.025)!#28=TOROIDAL_SURFACE('',#11180,0.275,0.025)!#29=TOROIDAL_SURFACE('',#11215,0.275,0.025)!#30=TOROIDAL_SURFACE('',#11359,3.7992125984252,0.118110236220472)!#31=TOROIDAL_SURFACE('',#11366,3.7992125984252,0.118110236220472)!#32=TOROIDAL_SURFACE('',#11377,3.7992125984252,0.118110236220472)!#33=TOROIDAL_SURFACE('',#11378,3.7992125984252,0.118110236220472)!#34=TOROIDAL_SURFACE('',#11391,3.40551181102362,0.0984251968503937)!#35=TOROIDAL_SURFACE('',#11409,2.71653543307087,0.590551181102362)!#36=TOROIDAL_SURFACE('',#11424,1.40748031496063,0.157480314960631)!#37=TOROIDAL_SURFACE('',#11431,1.74941126402957,0.196850393700771)!#38=TOROIDAL_SURFACE('',#11440,2.11161235801767,0.196850393700773)!#39=TOROIDAL_SURFACE('',#11461,3.47244094488189,0.047244094488189)!#40=TOROIDAL_SURFACE('',#11467,2.67716535433071,0.0787401574803049)!#41=TOROIDAL_SURFACE('',#11476,2.67716535433071,0.0787401574803154)!#42=TOROIDAL_SURFACE('',#11497,2.93307086614173,0.118110236220472)!#43=TOROIDAL_SURFACE('',#11502,2.93307086614173,0.118110236220472)!#44=TOROIDAL_SURFACE('',#11515,2.93307086614173,0.118110236220472)!#45=TOROIDAL_SURFACE('',#11526,2.93307086614173,0.118110236220472)!#46=TOROIDAL_SURFACE('',#11714,0.654999999999999,0.155)!#47=TOROIDAL_SURFACE('',#11728,4.384,0.726)!#48=TOROIDAL_SURFACE('',#11789,3.58267716535433,0.118110236220472)!#49=FACE_BOUND('',#2883,.T.)!#50=FACE_BOUND('',#2884,.T.)!#51=FACE_BOUND('',#2921,.T.)!#52=FACE_BOUND('',#2922,.T.)!#53=FACE_BOUND('',#2933,.T.)!#54=FACE_BOUND('',#2936,.T.)!#55=FACE_BOUND('',#2942,.T.)!#56=FACE_BOUND('',#2950,.T.)!#57=FACE_BOUND('',#2953,.T.)!#58=FACE_BOUND('',#2961,.T.)!#59=FACE_BOUND('',#2972,.T.)!#60=FACE_BOUND('',#2981,.T.)!#61=FACE_BOUND('',#2992,.T.)!#62=FACE_BOUND('',#3001,.T.)!#63=FACE_BOUND('',#3012,.T.)!#64=FACE_BOUND('',#3021,.T.)!#65=FACE_BOUND('',#3032,.T.)!#66=FACE_BOUND('',#3053,.T.)!#67=FACE_BOUND('',#3090,.T.)!#68=FACE_BOUND('',#3098,.T.)!#69=FACE_BOUND('',#3130,.T.)!#70=FACE_BOUND('',#3137,.T.)!#71=FACE_BOUND('',#3142,.T.)!#72=FACE_BOUND('',#3183,.T.)!#73=FACE_BOUND('',#3191,.T.)!#74=FACE_BOUND('',#3225,.T.)!#75=FACE_BOUND('',#3241,.T.)!#76=FACE_BOUND('',#3244,.T.)!#77=FACE_BOUND('',#3246,.T.)!#78=FACE_BOUND('',#3247,.T.)!#79=FACE_BOUND('',#3249,.T.)!#80=FACE_BOUND('',#3258,.T.)!#81=FACE_BOUND('',#3259,.T.)!#82=FACE_BOUND('',#3260,.T.)!#83=FACE_BOUND('',#3278,.T.)!#84=FACE_BOUND('',#3281,.T.)!#85=FACE_BOUND('',#3292,.T.)!#86=FACE_BOUND('',#3293,.T.)!#87=FACE_BOUND('',#3294,.T.)!#88=FACE_BOUND('',#3305,.T.)!#89=FACE_BOUND('',#3306,.T.)!#90=FACE_BOUND('',#3307,.T.)!#91=FACE_BOUND('',#3308,.T.)!#92=FACE_BOUND('',#3334,.T.)!#93=FACE_BOUND('',#3341,.T.)!#94=FACE_BOUND('',#3343,.T.)!#95=FACE_BOUND('',#3345,.T.)!#96=FACE_BOUND('',#3349,.T.)!#97=FACE_BOUND('',#3352,.T.)!#98=FACE_BOUND('',#3355,.T.)!#99=FACE_BOUND('',#3358,.T.)!#100=FACE_BOUND('',#3360,.T.)!#101=FACE_BOUND('',#3362,.T.)!#102=SPHERICAL_SURFACE('',#10938,0.5)!#103=SPHERICAL_SURFACE('',#10944,0.5)!#104=SPHERICAL_SURFACE('',#10994,0.5)!#105=SPHERICAL_SURFACE('',#11000,0.5)!#106=CYLINDRICAL_SURFACE('',#10934,0.5)!#107=CYLINDRICAL_SURFACE('',#10940,0.5)!#108=CYLINDRICAL_SURFACE('',#10990,0.5)!#109=CYLINDRICAL_SURFACE('',#10996,0.5)!#110=CYLINDRICAL_SURFACE('',#11024,1.0025)!#111=CYLINDRICAL_SURFACE('',#11055,1.2575)!#112=CYLINDRICAL_SURFACE('',#11056,0.935)!#113=CYLINDRICAL_SURFACE('',#11074,1.2525)!#114=CYLINDRICAL_SURFACE('',#11103,1.525)!#115=CYLINDRICAL_SURFACE('',#11104,1.185)!#116=CYLINDRICAL_SURFACE('',#11105,0.25)!#117=CYLINDRICAL_SURFACE('',#11139,0.3375)!#118=CYLINDRICAL_SURFACE('',#11140,0.25)!#119=CYLINDRICAL_SURFACE('',#11174,0.3375)!#120=CYLINDRICAL_SURFACE('',#11175,0.25)!#121=CYLINDRICAL_SURFACE('',#11209,0.3375)!#122=CYLINDRICAL_SURFACE('',#11210,0.25)!#123=CYLINDRICAL_SURFACE('',#11244,0.3375)!#124=CYLINDRICAL_SURFACE('',#11270,0.196850393700787)!#125=CYLINDRICAL_SURFACE('',#11288,3.91732283464567)!#126=CYLINDRICAL_SURFACE('',#11291,3.91732283464567)!#127=CYLINDRICAL_SURFACE('',#11294,0.216535433070866)!#128=CYLINDRICAL_SURFACE('',#11299,0.433070866141732)!#129=CYLINDRICAL_SURFACE('',#11306,0.191479136612826)!#130=CYLINDRICAL_SURFACE('',#11315,0.452755905511811)!#131=CYLINDRICAL_SURFACE('',#11319,3.91732283464567)!#132=CYLINDRICAL_SURFACE('',#11324,3.91732283464567)!#133=CYLINDRICAL_SURFACE('',#11327,3.91732283464567)!#134=CYLINDRICAL_SURFACE('',#11330,3.91732283464567)!#135=CYLINDRICAL_SURFACE('',#11347,0.452755905511811)!#136=CYLINDRICAL_SURFACE('',#11350,3.91732283464567)!#137=CYLINDRICAL_SURFACE('',#11353,3.5630480542402)!#138=CYLINDRICAL_SURFACE('',#11372,3.5630480542402)!#139=CYLINDRICAL_SURFACE('',#11379,3.74015748031496)!#140=CYLINDRICAL_SURFACE('',#11384,3.30708661417323)!#141=CYLINDRICAL_SURFACE('',#11402,2.65748031496063)!#142=CYLINDRICAL_SURFACE('',#11417,0.663385826771653)!#143=CYLINDRICAL_SURFACE('',#11420,1.25)!#144=CYLINDRICAL_SURFACE('',#11457,3.4251968503937)!#145=CYLINDRICAL_SURFACE('',#11472,2.59842519685039)!#146=CYLINDRICAL_SURFACE('',#11487,2.86417322834646)!#147=CYLINDRICAL_SURFACE('',#11489,2.86417322834646)!#148=CYLINDRICAL_SURFACE('',#11491,2.8740157480315)!#149=CYLINDRICAL_SURFACE('',#11492,2.8740157480315)!#150=CYLINDRICAL_SURFACE('',#11513,2.69690632195674)!#151=CYLINDRICAL_SURFACE('',#11514,2.69690632195674)!#152=CYLINDRICAL_SURFACE('',#11532,2.69690632195674)!#153=CYLINDRICAL_SURFACE('',#11533,2.69690632195674)!#154=CYLINDRICAL_SURFACE('',#11535,3.05118110236221)!#155=CYLINDRICAL_SURFACE('',#11538,0.452755905511811)!#156=CYLINDRICAL_SURFACE('',#11555,3.0511811023622)!#157=CYLINDRICAL_SURFACE('',#11556,3.05118110236221)!#158=CYLINDRICAL_SURFACE('',#11557,3.05118110236221)!#159=CYLINDRICAL_SURFACE('',#11558,3.05118110236221)!#160=CYLINDRICAL_SURFACE('',#11561,0.452755905511811)!#161=CYLINDRICAL_SURFACE('',#11571,0.191479136612826)!#162=CYLINDRICAL_SURFACE('',#11578,0.433070866141732)!#163=CYLINDRICAL_SURFACE('',#11583,0.216535433070866)!#164=CYLINDRICAL_SURFACE('',#11585,3.05118110236221)!#165=CYLINDRICAL_SURFACE('',#11586,3.05118110236221)!#166=CYLINDRICAL_SURFACE('',#11608,0.196850393700787)!#167=CYLINDRICAL_SURFACE('',#11630,4.52000000000001)!#168=CYLINDRICAL_SURFACE('',#11638,4.78)!#169=CYLINDRICAL_SURFACE('',#11652,0.161)!#170=CYLINDRICAL_SURFACE('',#11656,0.459)!#171=CYLINDRICAL_SURFACE('',#11660,0.161)!#172=CYLINDRICAL_SURFACE('',#11668,0.37)!#173=CYLINDRICAL_SURFACE('',#11672,0.25)!#174=CYLINDRICAL_SURFACE('',#11676,0.25)!#175=CYLINDRICAL_SURFACE('',#11680,0.37)!#176=CYLINDRICAL_SURFACE('',#11683,0.459)!#177=CYLINDRICAL_SURFACE('',#11690,4.88)!#178=CYLINDRICAL_SURFACE('',#11698,4.88)!#179=CYLINDRICAL_SURFACE('',#11706,0.239)!#180=CYLINDRICAL_SURFACE('',#11712,0.113210526315789)!#181=CYLINDRICAL_SURFACE('',#11718,0.205)!#182=CYLINDRICAL_SURFACE('',#11720,0.205)!#183=CYLINDRICAL_SURFACE('',#11721,5.11)!#184=CYLINDRICAL_SURFACE('',#11730,0.5)!#185=CYLINDRICAL_SURFACE('',#11734,0.5)!#186=CYLINDRICAL_SURFACE('',#11735,0.5)!#187=CYLINDRICAL_SURFACE('',#11736,0.5)!#188=CYLINDRICAL_SURFACE('',#11741,0.8)!#189=CYLINDRICAL_SURFACE('',#11746,0.8)!#190=CYLINDRICAL_SURFACE('',#11759,0.25)!#191=CYLINDRICAL_SURFACE('',#11761,0.125)!#192=CYLINDRICAL_SURFACE('',#11764,0.25)!#193=CYLINDRICAL_SURFACE('',#11766,0.25)!#194=CYLINDRICAL_SURFACE('',#11768,0.125)!#195=CYLINDRICAL_SURFACE('',#11771,0.125)!#196=CYLINDRICAL_SURFACE('',#11774,0.25)!#197=CYLINDRICAL_SURFACE('',#11776,0.125)!#198=CYLINDRICAL_SURFACE('',#11779,0.25)!#199=CYLINDRICAL_SURFACE('',#11781,0.25)!#200=CYLINDRICAL_SURFACE('',#11782,0.25)!#201=CYLINDRICAL_SURFACE('',#11784,0.25)!#202=CYLINDRICAL_SURFACE('',#11794,3.46456692913386)!#203=CYLINDRICAL_SURFACE('',#11800,3.50393700787402)!#204=CYLINDRICAL_SURFACE('',#11828,4.52755905511811)!#205=CYLINDRICAL_SURFACE('',#11833,0.531496062992126)!#206=CYLINDRICAL_SURFACE('',#11839,0.531496062992126)!#207=CYLINDRICAL_SURFACE('',#11845,0.531496062992126)!#208=CYLINDRICAL_SURFACE('',#11851,0.531496062992126)!#209=CYLINDRICAL_SURFACE('',#11859,0.275590551181102)!#210=CYLINDRICAL_SURFACE('',#11860,0.275590551181102)!#211=CYLINDRICAL_SURFACE('',#11861,0.275590551181102)!#212=CYLINDRICAL_SURFACE('',#11862,0.275590551181102)!#213=ELLIPSE('',#10871,0.352879344665034,0.316138008657162)!#214=ELLIPSE('',#10872,0.919910153491432,0.533210270593175)!#215=ELLIPSE('',#10886,0.721497534260972,0.283974925766093)!#216=B_SPLINE_CURVE_WITH_KNOTS('',2,(#15034,#15035,#15036), .UNSPECIFIED.,.F.,.F.,(3,3),(-0.372006802501886,-0.040550078895874), .UNSPECIFIED.)!#217=B_SPLINE_CURVE_WITH_KNOTS('',2,(#15039,#15040,#15041), .UNSPECIFIED.,.F.,.F.,(3,3),(0.040550078895874,0.372006802501886), .UNSPECIFIED.)!#218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15168,#15169,#15170,#15171,#15172, #15173,#15174),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.,3.,4.),.UNSPECIFIED.)!#219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15175,#15176,#15177,#15178,#15179, #15180,#15181),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,1.,2.),.UNSPECIFIED.)!#220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15198,#15199,#15200,#15201,#15202, #15203,#15204),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-2.,-1.,0.),.UNSPECIFIED.)!#221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15221,#15222,#15223,#15224,#15225, #15226,#15227),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-4.,-3.,-2.), .UNSPECIFIED.)!#222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15238,#15239,#15240,#15241), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.4661100807994,-12.),.UNSPECIFIED.)!#223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15256,#15257,#15258,#15259), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.929133415635,-12.4656054893545), .UNSPECIFIED.)!#224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15272,#15273,#15274,#15275), .UNSPECIFIED.,.F.,.F.,(4,4),(-13.,-12.9309171010217),.UNSPECIFIED.)!#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15288,#15289,#15290,#15291), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.,0.),.UNSPECIFIED.)!#226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15294,#15295,#15296,#15297), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.)!#227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15373,#15374,#15375,#15376), .UNSPECIFIED.,.F.,.F.,(4,4),(-10.4425672029317,-10.),.UNSPECIFIED.)!#228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15379,#15380,#15381,#15382), .UNSPECIFIED.,.F.,.F.,(4,4),(10.,10.4425672026718),.UNSPECIFIED.)!#229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15392,#15393,#15394,#15395), .UNSPECIFIED.,.F.,.F.,(4,4),(-10.7230664063185,-10.4426682554847), .UNSPECIFIED.)!#230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15398,#15399,#15400,#15401), .UNSPECIFIED.,.F.,.F.,(4,4),(10.4426682554847,10.7230664063185), .UNSPECIFIED.)!#231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15411,#15412,#15413,#15414), .UNSPECIFIED.,.F.,.F.,(4,4),(-11.,-10.7231064616884),.UNSPECIFIED.)!#232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15417,#15418,#15419,#15420), .UNSPECIFIED.,.F.,.F.,(4,4),(10.7231064616884,11.),.UNSPECIFIED.)!#233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15429,#15430,#15431,#15432), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.,-11.),.UNSPECIFIED.)!#234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15433,#15434,#15435,#15436), .UNSPECIFIED.,.F.,.F.,(4,4),(11.,12.),.UNSPECIFIED.)!#235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15448,#15449,#15450,#15451), .UNSPECIFIED.,.F.,.F.,(4,4),(-16.784421917943,-16.),.UNSPECIFIED.)!#236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15455,#15456,#15457,#15458), .UNSPECIFIED.,.F.,.F.,(4,4),(16.,16.7844219140039),.UNSPECIFIED.)!#237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15469,#15470,#15471,#15472), .UNSPECIFIED.,.F.,.F.,(4,4),(-17.,-16.7874526993438),.UNSPECIFIED.)!#238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15475,#15476,#15477,#15478), .UNSPECIFIED.,.F.,.F.,(4,4),(16.7874526993438,17.),.UNSPECIFIED.)!#239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15488,#15489,#15490,#15491), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.643243985143712,0.),.UNSPECIFIED.)!#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15494,#15495,#15496,#15497), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.643243985143712),.UNSPECIFIED.)!#241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15507,#15508,#15509,#15510), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.,-0.643781394500289),.UNSPECIFIED.)!#242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15559,#15560,#15561,#15562), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.,-6.),.UNSPECIFIED.)!#243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15565,#15566,#15567,#15568), .UNSPECIFIED.,.F.,.F.,(4,4),(6.,7.),.UNSPECIFIED.)!#244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15578,#15579,#15580,#15581), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.4995602971089,-7.),.UNSPECIFIED.)!#245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15584,#15585,#15586,#15587), .UNSPECIFIED.,.F.,.F.,(4,4),(7.,7.4995602971089),.UNSPECIFIED.)!#246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15597,#15598,#15599,#15600), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.71891010937382,-7.5003694715116), .UNSPECIFIED.)!#247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15603,#15604,#15605,#15606), .UNSPECIFIED.,.F.,.F.,(4,4),(7.50036947151152,7.71891010756278), .UNSPECIFIED.)!#248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15616,#15617,#15618,#15619), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.,-7.71881484260919),.UNSPECIFIED.)!#249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15622,#15623,#15624,#15625), .UNSPECIFIED.,.F.,.F.,(4,4),(7.71881484260919,8.),.UNSPECIFIED.)!#250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15635,#15636,#15637,#15638), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.28984622364296,-8.),.UNSPECIFIED.)!#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15641,#15642,#15643,#15644), .UNSPECIFIED.,.F.,.F.,(4,4),(8.,8.28984622364296),.UNSPECIFIED.)!#252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15654,#15655,#15656,#15657), .UNSPECIFIED.,.F.,.F.,(4,4),(-9.,-8.2896218645714),.UNSPECIFIED.)!#253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15660,#15661,#15662,#15663), .UNSPECIFIED.,.F.,.F.,(4,4),(8.2896218645714,9.),.UNSPECIFIED.)!#254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15715,#15716,#15717,#15718), .UNSPECIFIED.,.F.,.F.,(4,4),(-14.6720928236444,-14.),.UNSPECIFIED.)!#255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15721,#15722,#15723,#15724), .UNSPECIFIED.,.F.,.F.,(4,4),(14.,14.6720928236444),.UNSPECIFIED.)!#256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15734,#15735,#15736,#15737), .UNSPECIFIED.,.F.,.F.,(4,4),(-14.801396939345,-14.6718623265348), .UNSPECIFIED.)!#257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15740,#15741,#15742,#15743), .UNSPECIFIED.,.F.,.F.,(4,4),(14.6718623265348,14.801396939345), .UNSPECIFIED.)!#258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15753,#15754,#15755,#15756), .UNSPECIFIED.,.F.,.F.,(4,4),(-15.,-14.8019846685013),.UNSPECIFIED.)!#259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15759,#15760,#15761,#15762), .UNSPECIFIED.,.F.,.F.,(4,4),(14.8019846685013,15.),.UNSPECIFIED.)!#260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15771,#15772,#15773,#15774), .UNSPECIFIED.,.F.,.F.,(4,4),(-16.,-15.),.UNSPECIFIED.)!#261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15775,#15776,#15777,#15778), .UNSPECIFIED.,.F.,.F.,(4,4),(15.,16.),.UNSPECIFIED.)!#262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16947,#16948,#16949,#16950,#16951, #16952,#16953,#16954,#16955,#16956,#16957,#16958,#16959,#16960,#16961,#16962, #16963,#16964),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.19352145518159, 0.38704291036318,0.590961850138672,0.794880789914164,0.98342262020169,1.17196445048922, 1.36203426131036,1.55210407213151),.UNSPECIFIED.)!#263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16965,#16966,#16967,#16968,#16969, #16970,#16971,#16972,#16973,#16974,#16975,#16976,#16977,#16978,#16979,#16980, #16981,#16982),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(1.55210407213151, 1.74217388295265,1.9322436937738,2.12078552406133,2.30932735434886,2.51324629412435, 2.71716523389984,2.91068668908143,3.10420814426302),.UNSPECIFIED.)!#264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17078,#17079,#17080,#17081,#17082, #17083,#17084,#17085,#17086,#17087,#17088,#17089,#17090,#17091,#17092,#17093, #17094,#17095,#17096,#17097,#17098,#17099,#17100,#17101,#17102,#17103,#17104), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,4),(-0.954978653211262, -0.814759581966713,-0.61270186806908,-0.410644154171447,-0.205322077085724, -0.102661038542862,0.,0.102661038542862,0.205322077085724,0.410644154171447, 0.61270186806908,0.814759581966713,0.954978653210901),.UNSPECIFIED.)!#265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17268,#17269,#17270,#17271,#17272, #17273,#17274,#17275,#17276,#17277),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110598788472016,0.221941882354533,0.334220631127755,0.350359455552305), .UNSPECIFIED.)!#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17279,#17280,#17281,#17282,#17283, #17284,#17285,#17286,#17287,#17288),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.350359455552307, -0.334220631127755,-0.221941882354533,-0.110598788472017,0.), .UNSPECIFIED.)!#267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17301,#17302,#17303,#17304,#17305, #17306,#17307,#17308,#17309,#17310),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111055757047255,0.222137332584037,0.333251171867733,0.348640063468126), .UNSPECIFIED.)!#268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17312,#17313,#17314,#17315,#17316, #17317,#17318,#17319,#17320,#17321),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348640063468126, -0.333251171867733,-0.222137332584037,-0.111055757047255,0.), .UNSPECIFIED.)!#269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17348,#17349,#17350,#17351,#17352, #17353,#17354,#17355,#17356,#17357),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111055757047255,0.222137332584037,0.333251171867733,0.348640063468126), .UNSPECIFIED.)!#270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17359,#17360,#17361,#17362,#17363, #17364,#17365,#17366,#17367,#17368),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348640063468126, -0.333251171867733,-0.222137332584037,-0.111055757047255,0.), .UNSPECIFIED.)!#271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17394,#17395,#17396,#17397,#17398, #17399,#17400,#17401,#17402,#17403),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110598788472017,0.221941882354533,0.334220631127755,0.350359455552306), .UNSPECIFIED.)!#272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17404,#17405,#17406,#17407,#17408, #17409,#17410,#17411,#17412,#17413),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.350359455552307, -0.334220631127754,-0.221941882354533,-0.110598788472017,0.), .UNSPECIFIED.)!#273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17433,#17434,#17435,#17436,#17437, #17438,#17439,#17440),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(8.03928429549268, 8.30082817170007,8.59675883561619,8.71678982533828),.UNSPECIFIED.)!#274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17455,#17456,#17457,#17458,#17459, #17460,#17461,#17462),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.795540765722812, -0.614780036327016,-0.307390018163508,0.),.UNSPECIFIED.)!#275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17464,#17465,#17466,#17467,#17468, #17469,#17470,#17471,#17472,#17473,#17474,#17475,#17476,#17477,#17478,#17479, #17480,#17481,#17482,#17483,#17484,#17485,#17486,#17487,#17488,#17489,#17490, #17491,#17492,#17493,#17494,#17495),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(0.,0.307390018163508,0.614780036327015,0.922170054490523, 1.22956007265403,1.69396284223665,2.15836561181928,2.6227683814019,3.08717115098453, 3.79317019524133,4.49916923949814,5.20516828375495,5.91116732801175,6.50302865584399, 6.79895931976011,7.06050319596749),.UNSPECIFIED.)!#276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17529,#17530,#17531,#17532,#17533, #17534,#17535,#17536,#17537,#17538,#17539,#17540,#17541,#17542), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-4.57566157391044,-3.68976295351308, -2.96136569552966,-2.11333859996483,-0.989420993289614,-0.380069840642851, 0.),.UNSPECIFIED.)!#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17743,#17744,#17745,#17746,#17747, #17748,#17749,#17750,#17751,#17752),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348680526666607, -0.333273710038218,-0.222132466867985,-0.111044942905126,0.), .UNSPECIFIED.)!#278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17760,#17761,#17762,#17763,#17764, #17765,#17766,#17767,#17768,#17769),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110280745027124,0.221799880937697,0.334897260759643,0.351579484274661), .UNSPECIFIED.)!#279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17774,#17775,#17776,#17777,#17778, #17779,#17780,#17781,#17782,#17783),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111044942905126,0.222132466867985,0.333273710038218,0.348680526666606), .UNSPECIFIED.)!#280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17787,#17788,#17789,#17790,#17791, #17792,#17793,#17794,#17795,#17796),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.35157948427466, -0.334897260759643,-0.221799880937697,-0.110280745027125,0.), .UNSPECIFIED.)!#281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17839,#17840,#17841,#17842,#17843, #17844,#17845,#17846,#17847,#17848),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348680526666606, -0.333273710038217,-0.222132466867985,-0.111044942905126,0.), .UNSPECIFIED.)!#282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17858,#17859,#17860,#17861,#17862, #17863,#17864,#17865,#17866,#17867),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110280745027125,0.221799880937697,0.334897260759643,0.351579484274661), .UNSPECIFIED.)!#283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17894,#17895,#17896,#17897,#17898, #17899,#17900,#17901,#17902,#17903),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111044942905126,0.222132466867985,0.333273710038218,0.348680526666607), .UNSPECIFIED.)!#284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17905,#17906,#17907,#17908,#17909, #17910,#17911,#17912,#17913,#17914),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.35157948427466, -0.334897260759643,-0.221799880937697,-0.110280745027124,0.), .UNSPECIFIED.)!#285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18014,#18015,#18016,#18017,#18018, #18019,#18020,#18021,#18022,#18023,#18024,#18025,#18026,#18027,#18028,#18029, #18030,#18031,#18032,#18033,#18034,#18035,#18036,#18037,#18038,#18039), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.471860340112112,0.649483020093834, 0.890216129408189,1.08640555326364,1.28259497711909,1.47123310182482,1.65987122653054, 1.84850935123627,2.03714747594199,2.23333689979744,2.42952632365289,2.67025943296725, 2.84788211294897),.UNSPECIFIED.)!#286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18102,#18103,#18104,#18105,#18106, #18107,#18108,#18109,#18110,#18111,#18112,#18113,#18114,#18115,#18116,#18117, #18118,#18119,#18120,#18121,#18122,#18123,#18124,#18125,#18126,#18127,#18128), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,4),(-0.954978653211261, -0.814759581966711,-0.612701868069079,-0.410644154171447,-0.205322077085723, -0.102661038542862,0.,0.102661038542862,0.205322077085723,0.410644154171447, 0.612701868069079,0.814759581966711,0.954978653210898),.UNSPECIFIED.)!#287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18335,#18336,#18337,#18338,#18339, #18340,#18341,#18342,#18343,#18344,#18345,#18346,#18347,#18348,#18349,#18350, #18351,#18352),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.397992554775533, 0.795985109551066,1.1939776643266,1.59197021910213,1.98100053909248,2.37003085908283, 2.75906117907318,3.14809149906353),.UNSPECIFIED.)!#288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18353,#18354,#18355,#18356,#18357, #18358,#18359,#18360,#18361,#18362,#18363,#18364,#18365,#18366,#18367,#18368, #18369,#18370,#18371,#18372,#18373,#18374,#18375,#18376,#18377,#18378,#18379, #18380,#18381,#18382,#18383,#18384,#18385,#18386,#18387,#18388,#18389,#18390, #18391,#18392,#18393,#18394,#18395,#18396,#18397,#18398,#18399,#18400,#18401, #18402),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(3.14809149906353,3.53712181905388,3.92615213904423,4.31518245903457, 4.70421277902492,5.10220533380046,5.50019788857599,5.89819044335152,6.29618299812705, 6.69417555290259,7.09216810767812,7.49016066245365,7.88815321722919,8.27718353721954, 8.66621385720988,9.05524417720023,9.44427449719058,9.83330481718093,10.2223351371713, 10.6113654571616,11.000395777152,11.3983883319275,11.796380886703,12.1943734414786, 12.5923659962541),.UNSPECIFIED.)!#289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18566,#18567,#18568,#18569,#18570, #18571,#18572,#18573,#18574,#18575),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.980239513478579, -0.729050752333605,-0.47786199118863,-0.238930995594315,0.), .UNSPECIFIED.)!#290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18578,#18579,#18580,#18581,#18582, #18583,#18584,#18585,#18586,#18587),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.95377824223909, 2.1927092378334,2.43164023342772,2.68282899457269,2.93401775571767), .UNSPECIFIED.)!#291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18612,#18613,#18614,#18615,#18616, #18617,#18618,#18619,#18620,#18621),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.973534807238091, 1.22472356838307,1.47591232952804,1.71484332512235,1.95377432071667), .UNSPECIFIED.)!#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18625,#18626,#18627,#18628,#18629, #18630,#18631,#18632,#18633,#18634),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.238930995594315,0.47786199118863,0.729050752333604,0.980239513478578), .UNSPECIFIED.)!#293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18662,#18663,#18664,#18665,#18666, #18667,#18668,#18669,#18670,#18671,#18672,#18673),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(1.38424391112677,1.44717825126401,1.54582887802285,1.65851439428251, 1.77006325663227,1.84864062877623),.UNSPECIFIED.)!#294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18675,#18676,#18677,#18678,#18679, #18680,#18681,#18682,#18683,#18684,#18685,#18686,#18687,#18688,#18689,#18690, #18691,#18692,#18693,#18694),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.0843182752128716,0.198419746086447,0.316235812498061,0.417524475338346, 0.512773501035716,0.609216268447526,0.718926979825665,0.831912000479091, 0.918620155920926),.UNSPECIFIED.)!#295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18695,#18696,#18697,#18698,#18699, #18700,#18701,#18702,#18703,#18704,#18705,#18706,#18707,#18708), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(0.918620155920926,0.924409288924544, 1.01091090953768,1.12934950221218,1.24817678909556,1.35057095210148,1.38424391112677), .UNSPECIFIED.)!#296=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#15027,#15028),(#15029,#15030), (#15031,#15032)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.040550078895874, 0.372006802501886),(0.,0.0012),.UNSPECIFIED.)!#297=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15182,#15183),(#15184,#15185), (#15186,#15187),(#15188,#15189),(#15190,#15191),(#15192,#15193),(#15194, #15195)),.UNSPECIFIED.,.F.,.F.,.F.,(4,3,4),(2,2),(0.,1.,2.),(0.,0.0012), .UNSPECIFIED.)!#298=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15207,#15208),(#15209,#15210), (#15211,#15212),(#15213,#15214),(#15215,#15216),(#15217,#15218),(#15219, #15220)),.UNSPECIFIED.,.F.,.F.,.F.,(4,3,4),(2,2),(2.,3.,4.),(0.,0.0012), .UNSPECIFIED.)!#299=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15228,#15229),(#15230,#15231), (#15232,#15233),(#15234,#15235)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.,12.4661100807994),(0.,0.0012),.UNSPECIFIED.)!#300=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15247,#15248),(#15249,#15250), (#15251,#15252),(#15253,#15254)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.4656054893545,12.929133415635),(0.,0.0012),.UNSPECIFIED.)!#301=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15263,#15264),(#15265,#15266), (#15267,#15268),(#15269,#15270)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.9309171010217,13.),(0.,0.0012),.UNSPECIFIED.)!#302=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15279,#15280),(#15281,#15282), (#15283,#15284),(#15285,#15286)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.,1.),(0.,0.0012),.UNSPECIFIED.)!#303=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15364,#15365),(#15366,#15367), (#15368,#15369),(#15370,#15371)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.,10.4425672029317),(0.,0.0012),.UNSPECIFIED.)!#304=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15383,#15384),(#15385,#15386), (#15387,#15388),(#15389,#15390)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.4426682554847,10.7230664063185),(0.,0.0012),.UNSPECIFIED.)!#305=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15402,#15403),(#15404,#15405), (#15406,#15407),(#15408,#15409)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.7231064616884,11.),(0.,0.0012),.UNSPECIFIED.)!#306=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15421,#15422),(#15423,#15424), (#15425,#15426),(#15427,#15428)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (11.,12.),(0.,0.0012),.UNSPECIFIED.)!#307=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15438,#15439),(#15440,#15441), (#15442,#15443),(#15444,#15445)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (16.,16.784421917943),(0.,0.0012),.UNSPECIFIED.)!#308=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15460,#15461),(#15462,#15463), (#15464,#15465),(#15466,#15467)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (16.7874526993438,17.),(0.,0.0012),.UNSPECIFIED.)!#309=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15479,#15480),(#15481,#15482), (#15483,#15484),(#15485,#15486)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.,0.643243985143712),(0.,0.0012),.UNSPECIFIED.)!#310=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15498,#15499),(#15500,#15501), (#15502,#15503),(#15504,#15505)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.643781394500289,1.),(0.,0.0012),.UNSPECIFIED.)!#311=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15550,#15551),(#15552,#15553), (#15554,#15555),(#15556,#15557)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (6.,7.),(0.,0.0012),.UNSPECIFIED.)!#312=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15569,#15570),(#15571,#15572), (#15573,#15574),(#15575,#15576)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.,7.4995602971089),(0.,0.0012),.UNSPECIFIED.)!#313=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15588,#15589),(#15590,#15591), (#15592,#15593),(#15594,#15595)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.5003694715116,7.71891010937382),(0.,0.0012),.UNSPECIFIED.)!#314=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15607,#15608),(#15609,#15610), (#15611,#15612),(#15613,#15614)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.71881484260919,8.),(0.,0.0012),.UNSPECIFIED.)!#315=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15626,#15627),(#15628,#15629), (#15630,#15631),(#15632,#15633)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (8.,8.28984622364296),(0.,0.0012),.UNSPECIFIED.)!#316=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15645,#15646),(#15647,#15648), (#15649,#15650),(#15651,#15652)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (8.2896218645714,9.),(0.,0.0012),.UNSPECIFIED.)!#317=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15706,#15707),(#15708,#15709), (#15710,#15711),(#15712,#15713)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.,14.6720928236444),(0.,0.0012),.UNSPECIFIED.)!#318=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15725,#15726),(#15727,#15728), (#15729,#15730),(#15731,#15732)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.6718623265348,14.801396939345),(0.,0.0012),.UNSPECIFIED.)!#319=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15744,#15745),(#15746,#15747), (#15748,#15749),(#15750,#15751)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.8019846685013,15.),(0.,0.0012),.UNSPECIFIED.)!#320=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#15763,#15764),(#15765,#15766), (#15767,#15768),(#15769,#15770)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (15.,16.),(0.,0.0012),.UNSPECIFIED.)!#321=LINE('',#14910,#1079)!#322=LINE('',#14912,#1080)!#323=LINE('',#14913,#1081)!#324=LINE('',#14916,#1082)!#325=LINE('',#14917,#1083)!#326=LINE('',#14920,#1084)!#327=LINE('',#14921,#1085)!#328=LINE('',#14924,#1086)!#329=LINE('',#14925,#1087)!#330=LINE('',#14928,#1088)!#331=LINE('',#14929,#1089)!#332=LINE('',#14931,#1090)!#333=LINE('',#14995,#1091)!#334=LINE('',#14997,#1092)!#335=LINE('',#14998,#1093)!#336=LINE('',#15001,#1094)!#337=LINE('',#15002,#1095)!#338=LINE('',#15005,#1096)!#339=LINE('',#15006,#1097)!#340=LINE('',#15009,#1098)!#341=LINE('',#15010,#1099)!#342=LINE('',#15013,#1100)!#343=LINE('',#15014,#1101)!#344=LINE('',#15016,#1102)!#345=LINE('',#15021,#1103)!#346=LINE('',#15023,#1104)!#347=LINE('',#15025,#1105)!#348=LINE('',#15026,#1106)!#349=LINE('',#15038,#1107)!#350=LINE('',#15044,#1108)!#351=LINE('',#15046,#1109)!#352=LINE('',#15047,#1110)!#353=LINE('',#15050,#1111)!#354=LINE('',#15052,#1112)!#355=LINE('',#15053,#1113)!#356=LINE('',#15056,#1114)!#357=LINE('',#15058,#1115)!#358=LINE('',#15059,#1116)!#359=LINE('',#15062,#1117)!#360=LINE('',#15064,#1118)!#361=LINE('',#15065,#1119)!#362=LINE('',#15068,#1120)!#363=LINE('',#15070,#1121)!#364=LINE('',#15071,#1122)!#365=LINE('',#15074,#1123)!#366=LINE('',#15076,#1124)!#367=LINE('',#15077,#1125)!#368=LINE('',#15080,#1126)!#369=LINE('',#15082,#1127)!#370=LINE('',#15083,#1128)!#371=LINE('',#15086,#1129)!#372=LINE('',#15088,#1130)!#373=LINE('',#15089,#1131)!#374=LINE('',#15092,#1132)!#375=LINE('',#15094,#1133)!#376=LINE('',#15095,#1134)!#377=LINE('',#15097,#1135)!#378=LINE('',#15098,#1136)!#379=LINE('',#15103,#1137)!#380=LINE('',#15105,#1138)!#381=LINE('',#15107,#1139)!#382=LINE('',#15108,#1140)!#383=LINE('',#15110,#1141)!#384=LINE('',#15111,#1142)!#385=LINE('',#15112,#1143)!#386=LINE('',#15115,#1144)!#387=LINE('',#15117,#1145)!#388=LINE('',#15118,#1146)!#389=LINE('',#15121,#1147)!#390=LINE('',#15123,#1148)!#391=LINE('',#15124,#1149)!#392=LINE('',#15127,#1150)!#393=LINE('',#15129,#1151)!#394=LINE('',#15130,#1152)!#395=LINE('',#15133,#1153)!#396=LINE('',#15135,#1154)!#397=LINE('',#15136,#1155)!#398=LINE('',#15139,#1156)!#399=LINE('',#15141,#1157)!#400=LINE('',#15142,#1158)!#401=LINE('',#15145,#1159)!#402=LINE('',#15147,#1160)!#403=LINE('',#15148,#1161)!#404=LINE('',#15151,#1162)!#405=LINE('',#15153,#1163)!#406=LINE('',#15154,#1164)!#407=LINE('',#15157,#1165)!#408=LINE('',#15159,#1166)!#409=LINE('',#15160,#1167)!#410=LINE('',#15162,#1168)!#411=LINE('',#15163,#1169)!#412=LINE('',#15205,#1170)!#413=LINE('',#15206,#1171)!#414=LINE('',#15243,#1172)!#415=LINE('',#15246,#1173)!#416=LINE('',#15261,#1174)!#417=LINE('',#15277,#1175)!#418=LINE('',#15293,#1176)!#419=LINE('',#15300,#1177)!#420=LINE('',#15302,#1178)!#421=LINE('',#15303,#1179)!#422=LINE('',#15306,#1180)!#423=LINE('',#15308,#1181)!#424=LINE('',#15309,#1182)!#425=LINE('',#15312,#1183)!#426=LINE('',#15314,#1184)!#427=LINE('',#15315,#1185)!#428=LINE('',#15318,#1186)!#429=LINE('',#15320,#1187)!#430=LINE('',#15321,#1188)!#431=LINE('',#15324,#1189)!#432=LINE('',#15326,#1190)!#433=LINE('',#15327,#1191)!#434=LINE('',#15330,#1192)!#435=LINE('',#15332,#1193)!#436=LINE('',#15333,#1194)!#437=LINE('',#15336,#1195)!#438=LINE('',#15338,#1196)!#439=LINE('',#15339,#1197)!#440=LINE('',#15342,#1198)!#441=LINE('',#15344,#1199)!#442=LINE('',#15345,#1200)!#443=LINE('',#15348,#1201)!#444=LINE('',#15350,#1202)!#445=LINE('',#15351,#1203)!#446=LINE('',#15354,#1204)!#447=LINE('',#15356,#1205)!#448=LINE('',#15357,#1206)!#449=LINE('',#15360,#1207)!#450=LINE('',#15362,#1208)!#451=LINE('',#15363,#1209)!#452=LINE('',#15378,#1210)!#453=LINE('',#15397,#1211)!#454=LINE('',#15416,#1212)!#455=LINE('',#15453,#1213)!#456=LINE('',#15459,#1214)!#457=LINE('',#15474,#1215)!#458=LINE('',#15493,#1216)!#459=LINE('',#15512,#1217)!#460=LINE('',#15516,#1218)!#461=LINE('',#15518,#1219)!#462=LINE('',#15519,#1220)!#463=LINE('',#15522,#1221)!#464=LINE('',#15524,#1222)!#465=LINE('',#15525,#1223)!#466=LINE('',#15528,#1224)!#467=LINE('',#15530,#1225)!#468=LINE('',#15531,#1226)!#469=LINE('',#15534,#1227)!#470=LINE('',#15536,#1228)!#471=LINE('',#15537,#1229)!#472=LINE('',#15540,#1230)!#473=LINE('',#15542,#1231)!#474=LINE('',#15543,#1232)!#475=LINE('',#15546,#1233)!#476=LINE('',#15548,#1234)!#477=LINE('',#15549,#1235)!#478=LINE('',#15564,#1236)!#479=LINE('',#15583,#1237)!#480=LINE('',#15602,#1238)!#481=LINE('',#15621,#1239)!#482=LINE('',#15640,#1240)!#483=LINE('',#15659,#1241)!#484=LINE('',#15666,#1242)!#485=LINE('',#15668,#1243)!#486=LINE('',#15669,#1244)!#487=LINE('',#15672,#1245)!#488=LINE('',#15674,#1246)!#489=LINE('',#15675,#1247)!#490=LINE('',#15678,#1248)!#491=LINE('',#15680,#1249)!#492=LINE('',#15681,#1250)!#493=LINE('',#15684,#1251)!#494=LINE('',#15686,#1252)!#495=LINE('',#15687,#1253)!#496=LINE('',#15690,#1254)!#497=LINE('',#15692,#1255)!#498=LINE('',#15693,#1256)!#499=LINE('',#15696,#1257)!#500=LINE('',#15698,#1258)!#501=LINE('',#15699,#1259)!#502=LINE('',#15702,#1260)!#503=LINE('',#15704,#1261)!#504=LINE('',#15705,#1262)!#505=LINE('',#15720,#1263)!#506=LINE('',#15739,#1264)!#507=LINE('',#15758,#1265)!#508=LINE('',#15783,#1266)!#509=LINE('',#15785,#1267)!#510=LINE('',#15786,#1268)!#511=LINE('',#15790,#1269)!#512=LINE('',#15792,#1270)!#513=LINE('',#15793,#1271)!#514=LINE('',#15836,#1272)!#515=LINE('',#15837,#1273)!#516=LINE('',#15838,#1274)!#517=LINE('',#15840,#1275)!#518=LINE('',#15841,#1276)!#519=LINE('',#15844,#1277)!#520=LINE('',#15845,#1278)!#521=LINE('',#15847,#1279)!#522=LINE('',#15851,#1280)!#523=LINE('',#15853,#1281)!#524=LINE('',#15854,#1282)!#525=LINE('',#15857,#1283)!#526=LINE('',#15859,#1284)!#527=LINE('',#15861,#1285)!#528=LINE('',#15862,#1286)!#529=LINE('',#15865,#1287)!#530=LINE('',#15867,#1288)!#531=LINE('',#15868,#1289)!#532=LINE('',#15871,#1290)!#533=LINE('',#15873,#1291)!#534=LINE('',#15874,#1292)!#535=LINE('',#15916,#1293)!#536=LINE('',#15917,#1294)!#537=LINE('',#15919,#1295)!#538=LINE('',#15922,#1296)!#539=LINE('',#15923,#1297)!#540=LINE('',#15925,#1298)!#541=LINE('',#15929,#1299)!#542=LINE('',#15931,#1300)!#543=LINE('',#15932,#1301)!#544=LINE('',#15939,#1302)!#545=LINE('',#15950,#1303)!#546=LINE('',#15963,#1304)!#547=LINE('',#15967,#1305)!#548=LINE('',#15971,#1306)!#549=LINE('',#15973,#1307)!#550=LINE('',#15974,#1308)!#551=LINE('',#15976,#1309)!#552=LINE('',#15977,#1310)!#553=LINE('',#15980,#1311)!#554=LINE('',#15982,#1312)!#555=LINE('',#15983,#1313)!#556=LINE('',#15985,#1314)!#557=LINE('',#15987,#1315)!#558=LINE('',#15988,#1316)!#559=LINE('',#15992,#1317)!#560=LINE('',#15994,#1318)!#561=LINE('',#15995,#1319)!#562=LINE('',#15999,#1320)!#563=LINE('',#16001,#1321)!#564=LINE('',#16002,#1322)!#565=LINE('',#16006,#1323)!#566=LINE('',#16008,#1324)!#567=LINE('',#16009,#1325)!#568=LINE('',#16052,#1326)!#569=LINE('',#16053,#1327)!#570=LINE('',#16054,#1328)!#571=LINE('',#16056,#1329)!#572=LINE('',#16057,#1330)!#573=LINE('',#16060,#1331)!#574=LINE('',#16061,#1332)!#575=LINE('',#16063,#1333)!#576=LINE('',#16067,#1334)!#577=LINE('',#16069,#1335)!#578=LINE('',#16071,#1336)!#579=LINE('',#16072,#1337)!#580=LINE('',#16075,#1338)!#581=LINE('',#16077,#1339)!#582=LINE('',#16078,#1340)!#583=LINE('',#16081,#1341)!#584=LINE('',#16083,#1342)!#585=LINE('',#16084,#1343)!#586=LINE('',#16087,#1344)!#587=LINE('',#16089,#1345)!#588=LINE('',#16090,#1346)!#589=LINE('',#16132,#1347)!#590=LINE('',#16133,#1348)!#591=LINE('',#16135,#1349)!#592=LINE('',#16138,#1350)!#593=LINE('',#16139,#1351)!#594=LINE('',#16141,#1352)!#595=LINE('',#16145,#1353)!#596=LINE('',#16147,#1354)!#597=LINE('',#16148,#1355)!#598=LINE('',#16155,#1356)!#599=LINE('',#16166,#1357)!#600=LINE('',#16179,#1358)!#601=LINE('',#16181,#1359)!#602=LINE('',#16182,#1360)!#603=LINE('',#16184,#1361)!#604=LINE('',#16186,#1362)!#605=LINE('',#16188,#1363)!#606=LINE('',#16192,#1364)!#607=LINE('',#16196,#1365)!#608=LINE('',#16198,#1366)!#609=LINE('',#16199,#1367)!#610=LINE('',#16201,#1368)!#611=LINE('',#16203,#1369)!#612=LINE('',#16204,#1370)!#613=LINE('',#16223,#1371)!#614=LINE('',#16232,#1372)!#615=LINE('',#16249,#1373)!#616=LINE('',#16277,#1374)!#617=LINE('',#16282,#1375)!#618=LINE('',#16284,#1376)!#619=LINE('',#16311,#1377)!#620=LINE('',#16316,#1378)!#621=LINE('',#16333,#1379)!#622=LINE('',#16361,#1380)!#623=LINE('',#16366,#1381)!#624=LINE('',#16368,#1382)!#625=LINE('',#16373,#1383)!#626=LINE('',#16452,#1384)!#627=LINE('',#16454,#1385)!#628=LINE('',#16455,#1386)!#629=LINE('',#16458,#1387)!#630=LINE('',#16459,#1388)!#631=LINE('',#16462,#1389)!#632=LINE('',#16463,#1390)!#633=LINE('',#16466,#1391)!#634=LINE('',#16468,#1392)!#635=LINE('',#16469,#1393)!#636=LINE('',#16475,#1394)!#637=LINE('',#16477,#1395)!#638=LINE('',#16481,#1396)!#639=LINE('',#16486,#1397)!#640=LINE('',#16565,#1398)!#641=LINE('',#16567,#1399)!#642=LINE('',#16568,#1400)!#643=LINE('',#16571,#1401)!#644=LINE('',#16572,#1402)!#645=LINE('',#16575,#1403)!#646=LINE('',#16576,#1404)!#647=LINE('',#16579,#1405)!#648=LINE('',#16581,#1406)!#649=LINE('',#16582,#1407)!#650=LINE('',#16588,#1408)!#651=LINE('',#16590,#1409)!#652=LINE('',#16594,#1410)!#653=LINE('',#16599,#1411)!#654=LINE('',#16678,#1412)!#655=LINE('',#16680,#1413)!#656=LINE('',#16681,#1414)!#657=LINE('',#16684,#1415)!#658=LINE('',#16685,#1416)!#659=LINE('',#16688,#1417)!#660=LINE('',#16689,#1418)!#661=LINE('',#16692,#1419)!#662=LINE('',#16694,#1420)!#663=LINE('',#16695,#1421)!#664=LINE('',#16701,#1422)!#665=LINE('',#16703,#1423)!#666=LINE('',#16707,#1424)!#667=LINE('',#16712,#1425)!#668=LINE('',#16791,#1426)!#669=LINE('',#16793,#1427)!#670=LINE('',#16794,#1428)!#671=LINE('',#16797,#1429)!#672=LINE('',#16798,#1430)!#673=LINE('',#16801,#1431)!#674=LINE('',#16802,#1432)!#675=LINE('',#16805,#1433)!#676=LINE('',#16807,#1434)!#677=LINE('',#16808,#1435)!#678=LINE('',#16814,#1436)!#679=LINE('',#16816,#1437)!#680=LINE('',#16820,#1438)!#681=LINE('',#16883,#1439)!#682=LINE('',#16885,#1440)!#683=LINE('',#16886,#1441)!#684=LINE('',#16889,#1442)!#685=LINE('',#16890,#1443)!#686=LINE('',#16893,#1444)!#687=LINE('',#16894,#1445)!#688=LINE('',#16897,#1446)!#689=LINE('',#16898,#1447)!#690=LINE('',#16901,#1448)!#691=LINE('',#16902,#1449)!#692=LINE('',#16904,#1450)!#693=LINE('',#16909,#1451)!#694=LINE('',#16911,#1452)!#695=LINE('',#16912,#1453)!#696=LINE('',#16916,#1454)!#697=LINE('',#16918,#1455)!#698=LINE('',#16920,#1456)!#699=LINE('',#16922,#1457)!#700=LINE('',#16924,#1458)!#701=LINE('',#16931,#1459)!#702=LINE('',#16933,#1460)!#703=LINE('',#16934,#1461)!#704=LINE('',#16938,#1462)!#705=LINE('',#16940,#1463)!#706=LINE('',#16942,#1464)!#707=LINE('',#16943,#1465)!#708=LINE('',#16983,#1466)!#709=LINE('',#16987,#1467)!#710=LINE('',#16989,#1468)!#711=LINE('',#16991,#1469)!#712=LINE('',#16992,#1470)!#713=LINE('',#16995,#1471)!#714=LINE('',#16997,#1472)!#715=LINE('',#16998,#1473)!#716=LINE('',#17001,#1474)!#717=LINE('',#17003,#1475)!#718=LINE('',#17004,#1476)!#719=LINE('',#17007,#1477)!#720=LINE('',#17009,#1478)!#721=LINE('',#17010,#1479)!#722=LINE('',#17013,#1480)!#723=LINE('',#17015,#1481)!#724=LINE('',#17016,#1482)!#725=LINE('',#17018,#1483)!#726=LINE('',#17019,#1484)!#727=LINE('',#17021,#1485)!#728=LINE('',#17022,#1486)!#729=LINE('',#17024,#1487)!#730=LINE('',#17025,#1488)!#731=LINE('',#17027,#1489)!#732=LINE('',#17028,#1490)!#733=LINE('',#17031,#1491)!#734=LINE('',#17032,#1492)!#735=LINE('',#17034,#1493)!#736=LINE('',#17035,#1494)!#737=LINE('',#17039,#1495)!#738=LINE('',#17041,#1496)!#739=LINE('',#17042,#1497)!#740=LINE('',#17045,#1498)!#741=LINE('',#17047,#1499)!#742=LINE('',#17048,#1500)!#743=LINE('',#17051,#1501)!#744=LINE('',#17052,#1502)!#745=LINE('',#17055,#1503)!#746=LINE('',#17056,#1504)!#747=LINE('',#17062,#1505)!#748=LINE('',#17065,#1506)!#749=LINE('',#17071,#1507)!#750=LINE('',#17074,#1508)!#751=LINE('',#17105,#1509)!#752=LINE('',#17106,#1510)!#753=LINE('',#17110,#1511)!#754=LINE('',#17114,#1512)!#755=LINE('',#17117,#1513)!#756=LINE('',#17121,#1514)!#757=LINE('',#17124,#1515)!#758=LINE('',#17126,#1516)!#759=LINE('',#17129,#1517)!#760=LINE('',#17131,#1518)!#761=LINE('',#17134,#1519)!#762=LINE('',#17136,#1520)!#763=LINE('',#17137,#1521)!#764=LINE('',#17144,#1522)!#765=LINE('',#17146,#1523)!#766=LINE('',#17150,#1524)!#767=LINE('',#17152,#1525)!#768=LINE('',#17153,#1526)!#769=LINE('',#17156,#1527)!#770=LINE('',#17157,#1528)!#771=LINE('',#17161,#1529)!#772=LINE('',#17168,#1530)!#773=LINE('',#17172,#1531)!#774=LINE('',#17176,#1532)!#775=LINE('',#17182,#1533)!#776=LINE('',#17188,#1534)!#777=LINE('',#17193,#1535)!#778=LINE('',#17197,#1536)!#779=LINE('',#17199,#1537)!#780=LINE('',#17203,#1538)!#781=LINE('',#17209,#1539)!#782=LINE('',#17210,#1540)!#783=LINE('',#17217,#1541)!#784=LINE('',#17218,#1542)!#785=LINE('',#17223,#1543)!#786=LINE('',#17224,#1544)!#787=LINE('',#17227,#1545)!#788=LINE('',#17229,#1546)!#789=LINE('',#17230,#1547)!#790=LINE('',#17232,#1548)!#791=LINE('',#17236,#1549)!#792=LINE('',#17238,#1550)!#793=LINE('',#17244,#1551)!#794=LINE('',#17251,#1552)!#795=LINE('',#17255,#1553)!#796=LINE('',#17263,#1554)!#797=LINE('',#17326,#1555)!#798=LINE('',#17343,#1556)!#799=LINE('',#17373,#1557)!#800=LINE('',#17389,#1558)!#801=LINE('',#17414,#1559)!#802=LINE('',#17424,#1560)!#803=LINE('',#17444,#1561)!#804=LINE('',#17496,#1562)!#805=LINE('',#17507,#1563)!#806=LINE('',#17521,#1564)!#807=LINE('',#17550,#1565)!#808=LINE('',#17555,#1566)!#809=LINE('',#17560,#1567)!#810=LINE('',#17591,#1568)!#811=LINE('',#17606,#1569)!#812=LINE('',#17638,#1570)!#813=LINE('',#17642,#1571)!#814=LINE('',#17652,#1572)!#815=LINE('',#17668,#1573)!#816=LINE('',#17685,#1574)!#817=LINE('',#17689,#1575)!#818=LINE('',#17694,#1576)!#819=LINE('',#17698,#1577)!#820=LINE('',#17702,#1578)!#821=LINE('',#17705,#1579)!#822=LINE('',#17708,#1580)!#823=LINE('',#17710,#1581)!#824=LINE('',#17713,#1582)!#825=LINE('',#17714,#1583)!#826=LINE('',#17716,#1584)!#827=LINE('',#17717,#1585)!#828=LINE('',#17725,#1586)!#829=LINE('',#17823,#1587)!#830=LINE('',#17824,#1588)!#831=LINE('',#17825,#1589)!#832=LINE('',#17827,#1590)!#833=LINE('',#17829,#1591)!#834=LINE('',#17831,#1592)!#835=LINE('',#17832,#1593)!#836=LINE('',#17833,#1594)!#837=LINE('',#17923,#1595)!#838=LINE('',#17924,#1596)!#839=LINE('',#17925,#1597)!#840=LINE('',#17927,#1598)!#841=LINE('',#17929,#1599)!#842=LINE('',#17931,#1600)!#843=LINE('',#17933,#1601)!#844=LINE('',#17937,#1602)!#845=LINE('',#17939,#1603)!#846=LINE('',#17941,#1604)!#847=LINE('',#17942,#1605)!#848=LINE('',#17944,#1606)!#849=LINE('',#17945,#1607)!#850=LINE('',#17946,#1608)!#851=LINE('',#17952,#1609)!#852=LINE('',#17956,#1610)!#853=LINE('',#17960,#1611)!#854=LINE('',#17966,#1612)!#855=LINE('',#17967,#1613)!#856=LINE('',#17970,#1614)!#857=LINE('',#17972,#1615)!#858=LINE('',#17973,#1616)!#859=LINE('',#17975,#1617)!#860=LINE('',#17983,#1618)!#861=LINE('',#17985,#1619)!#862=LINE('',#17987,#1620)!#863=LINE('',#17989,#1621)!#864=LINE('',#17991,#1622)!#865=LINE('',#17992,#1623)!#866=LINE('',#17997,#1624)!#867=LINE('',#17999,#1625)!#868=LINE('',#18001,#1626)!#869=LINE('',#18006,#1627)!#870=LINE('',#18007,#1628)!#871=LINE('',#18010,#1629)!#872=LINE('',#18040,#1630)!#873=LINE('',#18043,#1631)!#874=LINE('',#18044,#1632)!#875=LINE('',#18046,#1633)!#876=LINE('',#18053,#1634)!#877=LINE('',#18059,#1635)!#878=LINE('',#18060,#1636)!#879=LINE('',#18063,#1637)!#880=LINE('',#18064,#1638)!#881=LINE('',#18066,#1639)!#882=LINE('',#18067,#1640)!#883=LINE('',#18071,#1641)!#884=LINE('',#18073,#1642)!#885=LINE('',#18074,#1643)!#886=LINE('',#18075,#1644)!#887=LINE('',#18077,#1645)!#888=LINE('',#18082,#1646)!#889=LINE('',#18083,#1647)!#890=LINE('',#18084,#1648)!#891=LINE('',#18089,#1649)!#892=LINE('',#18090,#1650)!#893=LINE('',#18095,#1651)!#894=LINE('',#18096,#1652)!#895=LINE('',#18097,#1653)!#896=LINE('',#18132,#1654)!#897=LINE('',#18134,#1655)!#898=LINE('',#18135,#1656)!#899=LINE('',#18138,#1657)!#900=LINE('',#18140,#1658)!#901=LINE('',#18141,#1659)!#902=LINE('',#18148,#1660)!#903=LINE('',#18150,#1661)!#904=LINE('',#18151,#1662)!#905=LINE('',#18154,#1663)!#906=LINE('',#18156,#1664)!#907=LINE('',#18157,#1665)!#908=LINE('',#18161,#1666)!#909=LINE('',#18162,#1667)!#910=LINE('',#18164,#1668)!#911=LINE('',#18165,#1669)!#912=LINE('',#18168,#1670)!#913=LINE('',#18169,#1671)!#914=LINE('',#18172,#1672)!#915=LINE('',#18174,#1673)!#916=LINE('',#18175,#1674)!#917=LINE('',#18183,#1675)!#918=LINE('',#18185,#1676)!#919=LINE('',#18187,#1677)!#920=LINE('',#18189,#1678)!#921=LINE('',#18191,#1679)!#922=LINE('',#18192,#1680)!#923=LINE('',#18195,#1681)!#924=LINE('',#18196,#1682)!#925=LINE('',#18197,#1683)!#926=LINE('',#18200,#1684)!#927=LINE('',#18201,#1685)!#928=LINE('',#18203,#1686)!#929=LINE('',#18204,#1687)!#930=LINE('',#18206,#1688)!#931=LINE('',#18207,#1689)!#932=LINE('',#18209,#1690)!#933=LINE('',#18211,#1691)!#934=LINE('',#18213,#1692)!#935=LINE('',#18215,#1693)!#936=LINE('',#18222,#1694)!#937=LINE('',#18241,#1695)!#938=LINE('',#18243,#1696)!#939=LINE('',#18252,#1697)!#940=LINE('',#18261,#1698)!#941=LINE('',#18273,#1699)!#942=LINE('',#18282,#1700)!#943=LINE('',#18305,#1701)!#944=LINE('',#18316,#1702)!#945=LINE('',#18320,#1703)!#946=LINE('',#18324,#1704)!#947=LINE('',#18331,#1705)!#948=LINE('',#18405,#1706)!#949=LINE('',#18409,#1707)!#950=LINE('',#18418,#1708)!#951=LINE('',#18420,#1709)!#952=LINE('',#18421,#1710)!#953=LINE('',#18424,#1711)!#954=LINE('',#18426,#1712)!#955=LINE('',#18427,#1713)!#956=LINE('',#18433,#1714)!#957=LINE('',#18436,#1715)!#958=LINE('',#18439,#1716)!#959=LINE('',#18441,#1717)!#960=LINE('',#18442,#1718)!#961=LINE('',#18447,#1719)!#962=LINE('',#18451,#1720)!#963=LINE('',#18453,#1721)!#964=LINE('',#18454,#1722)!#965=LINE('',#18459,#1723)!#966=LINE('',#18465,#1724)!#967=LINE('',#18467,#1725)!#968=LINE('',#18470,#1726)!#969=LINE('',#18473,#1727)!#970=LINE('',#18475,#1728)!#971=LINE('',#18477,#1729)!#972=LINE('',#18479,#1730)!#973=LINE('',#18481,#1731)!#974=LINE('',#18482,#1732)!#975=LINE('',#18486,#1733)!#976=LINE('',#18487,#1734)!#977=LINE('',#18492,#1735)!#978=LINE('',#18496,#1736)!#979=LINE('',#18498,#1737)!#980=LINE('',#18499,#1738)!#981=LINE('',#18504,#1739)!#982=LINE('',#18509,#1740)!#983=LINE('',#18511,#1741)!#984=LINE('',#18513,#1742)!#985=LINE('',#18514,#1743)!#986=LINE('',#18520,#1744)!#987=LINE('',#18523,#1745)!#988=LINE('',#18526,#1746)!#989=LINE('',#18528,#1747)!#990=LINE('',#18529,#1748)!#991=LINE('',#18540,#1749)!#992=LINE('',#18542,#1750)!#993=LINE('',#18546,#1751)!#994=LINE('',#18548,#1752)!#995=LINE('',#18550,#1753)!#996=LINE('',#18552,#1754)!#997=LINE('',#18554,#1755)!#998=LINE('',#18556,#1756)!#999=LINE('',#18557,#1757)!#1000=LINE('',#18560,#1758)!#1001=LINE('',#18561,#1759)!#1002=LINE('',#18577,#1760)!#1003=LINE('',#18594,#1761)!#1004=LINE('',#18598,#1762)!#1005=LINE('',#18601,#1763)!#1006=LINE('',#18604,#1764)!#1007=LINE('',#18606,#1765)!#1008=LINE('',#18607,#1766)!#1009=LINE('',#18610,#1767)!#1010=LINE('',#18623,#1768)!#1011=LINE('',#18637,#1769)!#1012=LINE('',#18649,#1770)!#1013=LINE('',#18660,#1771)!#1014=LINE('',#18710,#1772)!#1015=LINE('',#18718,#1773)!#1016=LINE('',#18721,#1774)!#1017=LINE('',#18724,#1775)!#1018=LINE('',#18739,#1776)!#1019=LINE('',#18744,#1777)!#1020=LINE('',#18745,#1778)!#1021=LINE('',#18748,#1779)!#1022=LINE('',#18750,#1780)!#1023=LINE('',#18752,#1781)!#1024=LINE('',#18754,#1782)!#1025=LINE('',#18756,#1783)!#1026=LINE('',#18757,#1784)!#1027=LINE('',#18759,#1785)!#1028=LINE('',#18761,#1786)!#1029=LINE('',#18763,#1787)!#1030=LINE('',#18767,#1788)!#1031=LINE('',#18769,#1789)!#1032=LINE('',#18773,#1790)!#1033=LINE('',#18774,#1791)!#1034=LINE('',#18780,#1792)!#1035=LINE('',#18782,#1793)!#1036=LINE('',#18789,#1794)!#1037=LINE('',#18793,#1795)!#1038=LINE('',#18797,#1796)!#1039=LINE('',#18800,#1797)!#1040=LINE('',#18804,#1798)!#1041=LINE('',#18806,#1799)!#1042=LINE('',#18808,#1800)!#1043=LINE('',#18809,#1801)!#1044=LINE('',#18810,#1802)!#1045=LINE('',#18811,#1803)!#1046=LINE('',#18816,#1804)!#1047=LINE('',#18821,#1805)!#1048=LINE('',#18825,#1806)!#1049=LINE('',#18827,#1807)!#1050=LINE('',#18828,#1808)!#1051=LINE('',#18886,#1809)!#1052=LINE('',#18888,#1810)!#1053=LINE('',#18891,#1811)!#1054=LINE('',#18893,#1812)!#1055=LINE('',#18897,#1813)!#1056=LINE('',#18913,#1814)!#1057=LINE('',#18924,#1815)!#1058=LINE('',#18973,#1816)!#1059=LINE('',#18980,#1817)!#1060=LINE('',#18990,#1818)!#1061=LINE('',#19000,#1819)!#1062=LINE('',#19010,#1820)!#1063=LINE('',#19020,#1821)!#1064=LINE('',#19022,#1822)!#1065=LINE('',#19024,#1823)!#1066=LINE('',#19026,#1824)!#1067=LINE('',#19045,#1825)!#1068=LINE('',#19047,#1826)!#1069=LINE('',#19056,#1827)!#1070=LINE('',#19065,#1828)!#1071=LINE('',#19077,#1829)!#1072=LINE('',#19086,#1830)!#1073=LINE('',#19118,#1831)!#1074=LINE('',#19120,#1832)!#1075=LINE('',#19129,#1833)!#1076=LINE('',#19138,#1834)!#1077=LINE('',#19150,#1835)!#1078=LINE('',#19159,#1836)!#1079=VECTOR('',#11929,0.393700787401575)!#1080=VECTOR('',#11930,0.393700787401575)!#1081=VECTOR('',#11931,0.393700787401575)!#1082=VECTOR('',#11934,0.393700787401575)!#1083=VECTOR('',#11935,0.393700787401575)!#1084=VECTOR('',#11938,0.393700787401575)!#1085=VECTOR('',#11939,0.393700787401575)!#1086=VECTOR('',#11942,0.393700787401575)!#1087=VECTOR('',#11943,0.393700787401575)!#1088=VECTOR('',#11946,0.393700787401575)!#1089=VECTOR('',#11947,0.393700787401575)!#1090=VECTOR('',#11950,0.393700787401575)!#1091=VECTOR('',#11979,0.393700787401575)!#1092=VECTOR('',#11980,0.393700787401575)!#1093=VECTOR('',#11981,0.393700787401575)!#1094=VECTOR('',#11984,0.393700787401575)!#1095=VECTOR('',#11985,0.393700787401575)!#1096=VECTOR('',#11988,0.393700787401575)!#1097=VECTOR('',#11989,0.393700787401575)!#1098=VECTOR('',#11992,0.393700787401575)!#1099=VECTOR('',#11993,0.393700787401575)!#1100=VECTOR('',#11996,0.393700787401575)!#1101=VECTOR('',#11997,0.393700787401575)!#1102=VECTOR('',#12000,0.393700787401575)!#1103=VECTOR('',#12005,0.393700787401575)!#1104=VECTOR('',#12006,9.84251968503937)!#1105=VECTOR('',#12007,9.84251968503937)!#1106=VECTOR('',#12008,9.84251968503937)!#1107=VECTOR('',#12009,9.84251968503937)!#1108=VECTOR('',#12012,0.393700787401575)!#1109=VECTOR('',#12013,9.84251968503937)!#1110=VECTOR('',#12014,9.84251968503937)!#1111=VECTOR('',#12017,0.393700787401575)!#1112=VECTOR('',#12018,9.84251968503937)!#1113=VECTOR('',#12019,9.84251968503937)!#1114=VECTOR('',#12022,0.393700787401575)!#1115=VECTOR('',#12023,9.84251968503937)!#1116=VECTOR('',#12024,9.84251968503937)!#1117=VECTOR('',#12027,0.393700787401575)!#1118=VECTOR('',#12028,9.84251968503937)!#1119=VECTOR('',#12029,9.84251968503937)!#1120=VECTOR('',#12032,0.393700787401575)!#1121=VECTOR('',#12033,9.84251968503937)!#1122=VECTOR('',#12034,9.84251968503937)!#1123=VECTOR('',#12037,0.393700787401575)!#1124=VECTOR('',#12038,9.84251968503937)!#1125=VECTOR('',#12039,9.84251968503937)!#1126=VECTOR('',#12042,0.393700787401575)!#1127=VECTOR('',#12043,9.84251968503937)!#1128=VECTOR('',#12044,9.84251968503937)!#1129=VECTOR('',#12047,0.393700787401575)!#1130=VECTOR('',#12048,9.84251968503937)!#1131=VECTOR('',#12049,9.84251968503937)!#1132=VECTOR('',#12052,0.393700787401575)!#1133=VECTOR('',#12053,9.84251968503937)!#1134=VECTOR('',#12054,9.84251968503937)!#1135=VECTOR('',#12057,0.393700787401575)!#1136=VECTOR('',#12058,9.84251968503937)!#1137=VECTOR('',#12063,0.393700787401575)!#1138=VECTOR('',#12064,9.84251968503937)!#1139=VECTOR('',#12065,9.84251968503937)!#1140=VECTOR('',#12066,9.84251968503937)!#1141=VECTOR('',#12069,0.393700787401575)!#1142=VECTOR('',#12070,9.84251968503937)!#1143=VECTOR('',#12071,9.84251968503937)!#1144=VECTOR('',#12074,0.393700787401575)!#1145=VECTOR('',#12075,9.84251968503937)!#1146=VECTOR('',#12076,9.84251968503937)!#1147=VECTOR('',#12079,0.393700787401575)!#1148=VECTOR('',#12080,9.84251968503937)!#1149=VECTOR('',#12081,9.84251968503937)!#1150=VECTOR('',#12084,0.393700787401575)!#1151=VECTOR('',#12085,9.84251968503937)!#1152=VECTOR('',#12086,9.84251968503937)!#1153=VECTOR('',#12089,0.393700787401575)!#1154=VECTOR('',#12090,9.84251968503937)!#1155=VECTOR('',#12091,9.84251968503937)!#1156=VECTOR('',#12094,0.393700787401575)!#1157=VECTOR('',#12095,9.84251968503937)!#1158=VECTOR('',#12096,9.84251968503937)!#1159=VECTOR('',#12099,0.393700787401575)!#1160=VECTOR('',#12100,9.84251968503937)!#1161=VECTOR('',#12101,9.84251968503937)!#1162=VECTOR('',#12104,0.393700787401575)!#1163=VECTOR('',#12105,9.84251968503937)!#1164=VECTOR('',#12106,9.84251968503937)!#1165=VECTOR('',#12109,0.393700787401575)!#1166=VECTOR('',#12110,9.84251968503937)!#1167=VECTOR('',#12111,9.84251968503937)!#1168=VECTOR('',#12114,0.393700787401575)!#1169=VECTOR('',#12115,9.84251968503937)!#1170=VECTOR('',#12120,9.84251968503937)!#1171=VECTOR('',#12121,9.84251968503937)!#1172=VECTOR('',#12122,9.84251968503937)!#1173=VECTOR('',#12125,9.84251968503937)!#1174=VECTOR('',#12126,9.84251968503937)!#1175=VECTOR('',#12129,9.84251968503937)!#1176=VECTOR('',#12132,9.84251968503937)!#1177=VECTOR('',#12135,0.393700787401575)!#1178=VECTOR('',#12136,9.84251968503937)!#1179=VECTOR('',#12137,9.84251968503937)!#1180=VECTOR('',#12140,0.393700787401575)!#1181=VECTOR('',#12141,9.84251968503937)!#1182=VECTOR('',#12142,9.84251968503937)!#1183=VECTOR('',#12145,0.393700787401575)!#1184=VECTOR('',#12146,9.84251968503937)!#1185=VECTOR('',#12147,9.84251968503937)!#1186=VECTOR('',#12150,0.393700787401575)!#1187=VECTOR('',#12151,9.84251968503937)!#1188=VECTOR('',#12152,9.84251968503937)!#1189=VECTOR('',#12155,0.393700787401575)!#1190=VECTOR('',#12156,9.84251968503937)!#1191=VECTOR('',#12157,9.84251968503937)!#1192=VECTOR('',#12160,0.393700787401575)!#1193=VECTOR('',#12161,9.84251968503937)!#1194=VECTOR('',#12162,9.84251968503937)!#1195=VECTOR('',#12165,0.393700787401575)!#1196=VECTOR('',#12166,9.84251968503937)!#1197=VECTOR('',#12167,9.84251968503937)!#1198=VECTOR('',#12170,0.393700787401575)!#1199=VECTOR('',#12171,9.84251968503937)!#1200=VECTOR('',#12172,9.84251968503937)!#1201=VECTOR('',#12175,0.393700787401575)!#1202=VECTOR('',#12176,9.84251968503937)!#1203=VECTOR('',#12177,9.84251968503937)!#1204=VECTOR('',#12180,0.393700787401575)!#1205=VECTOR('',#12181,9.84251968503937)!#1206=VECTOR('',#12182,9.84251968503937)!#1207=VECTOR('',#12185,0.393700787401575)!#1208=VECTOR('',#12186,9.84251968503937)!#1209=VECTOR('',#12187,9.84251968503937)!#1210=VECTOR('',#12188,9.84251968503937)!#1211=VECTOR('',#12189,9.84251968503937)!#1212=VECTOR('',#12190,9.84251968503937)!#1213=VECTOR('',#12193,9.84251968503937)!#1214=VECTOR('',#12194,9.84251968503937)!#1215=VECTOR('',#12195,9.84251968503937)!#1216=VECTOR('',#12196,9.84251968503937)!#1217=VECTOR('',#12197,9.84251968503937)!#1218=VECTOR('',#12202,0.393700787401575)!#1219=VECTOR('',#12203,9.84251968503937)!#1220=VECTOR('',#12204,9.84251968503937)!#1221=VECTOR('',#12207,0.393700787401575)!#1222=VECTOR('',#12208,9.84251968503937)!#1223=VECTOR('',#12209,9.84251968503937)!#1224=VECTOR('',#12212,0.393700787401575)!#1225=VECTOR('',#12213,9.84251968503937)!#1226=VECTOR('',#12214,9.84251968503937)!#1227=VECTOR('',#12217,0.393700787401575)!#1228=VECTOR('',#12218,9.84251968503937)!#1229=VECTOR('',#12219,9.84251968503937)!#1230=VECTOR('',#12222,0.393700787401575)!#1231=VECTOR('',#12223,9.84251968503937)!#1232=VECTOR('',#12224,9.84251968503937)!#1233=VECTOR('',#12227,0.393700787401575)!#1234=VECTOR('',#12228,9.84251968503937)!#1235=VECTOR('',#12229,9.84251968503937)!#1236=VECTOR('',#12230,9.84251968503937)!#1237=VECTOR('',#12231,9.84251968503937)!#1238=VECTOR('',#12232,9.84251968503937)!#1239=VECTOR('',#12233,9.84251968503937)!#1240=VECTOR('',#12234,9.84251968503937)!#1241=VECTOR('',#12235,9.84251968503937)!#1242=VECTOR('',#12238,0.393700787401575)!#1243=VECTOR('',#12239,9.84251968503937)!#1244=VECTOR('',#12240,9.84251968503937)!#1245=VECTOR('',#12243,0.393700787401575)!#1246=VECTOR('',#12244,9.84251968503937)!#1247=VECTOR('',#12245,9.84251968503937)!#1248=VECTOR('',#12248,0.393700787401575)!#1249=VECTOR('',#12249,9.84251968503937)!#1250=VECTOR('',#12250,9.84251968503937)!#1251=VECTOR('',#12253,0.393700787401575)!#1252=VECTOR('',#12254,9.84251968503937)!#1253=VECTOR('',#12255,9.84251968503937)!#1254=VECTOR('',#12258,0.393700787401575)!#1255=VECTOR('',#12259,9.84251968503937)!#1256=VECTOR('',#12260,9.84251968503937)!#1257=VECTOR('',#12263,0.393700787401575)!#1258=VECTOR('',#12264,9.84251968503937)!#1259=VECTOR('',#12265,9.84251968503937)!#1260=VECTOR('',#12268,0.393700787401575)!#1261=VECTOR('',#12269,9.84251968503937)!#1262=VECTOR('',#12270,9.84251968503937)!#1263=VECTOR('',#12271,9.84251968503937)!#1264=VECTOR('',#12272,9.84251968503937)!#1265=VECTOR('',#12273,9.84251968503937)!#1266=VECTOR('',#12278,0.393700787401575)!#1267=VECTOR('',#12279,0.393700787401575)!#1268=VECTOR('',#12280,0.393700787401575)!#1269=VECTOR('',#12283,0.393700787401575)!#1270=VECTOR('',#12284,0.393700787401575)!#1271=VECTOR('',#12285,0.393700787401575)!#1272=VECTOR('',#12304,0.393700787401575)!#1273=VECTOR('',#12305,0.393700787401575)!#1274=VECTOR('',#12306,0.393700787401575)!#1275=VECTOR('',#12309,0.393700787401575)!#1276=VECTOR('',#12310,0.393700787401575)!#1277=VECTOR('',#12313,0.393700787401575)!#1278=VECTOR('',#12314,0.393700787401575)!#1279=VECTOR('',#12317,0.393700787401575)!#1280=VECTOR('',#12322,0.393700787401575)!#1281=VECTOR('',#12323,0.393700787401575)!#1282=VECTOR('',#12324,0.393700787401575)!#1283=VECTOR('',#12327,0.393700787401575)!#1284=VECTOR('',#12328,0.393700787401575)!#1285=VECTOR('',#12329,0.393700787401575)!#1286=VECTOR('',#12330,0.393700787401575)!#1287=VECTOR('',#12333,0.393700787401575)!#1288=VECTOR('',#12334,0.393700787401575)!#1289=VECTOR('',#12335,0.393700787401575)!#1290=VECTOR('',#12338,0.393700787401575)!#1291=VECTOR('',#12339,0.393700787401575)!#1292=VECTOR('',#12340,0.393700787401575)!#1293=VECTOR('',#12359,0.393700787401575)!#1294=VECTOR('',#12360,0.393700787401575)!#1295=VECTOR('',#12363,0.393700787401575)!#1296=VECTOR('',#12366,0.393700787401575)!#1297=VECTOR('',#12367,0.393700787401575)!#1298=VECTOR('',#12370,0.393700787401575)!#1299=VECTOR('',#12375,0.393700787401575)!#1300=VECTOR('',#12376,0.393700787401575)!#1301=VECTOR('',#12377,0.393700787401575)!#1302=VECTOR('',#12384,0.5)!#1303=VECTOR('',#12397,0.5)!#1304=VECTOR('',#12412,0.393700787401575)!#1305=VECTOR('',#12415,0.393700787401575)!#1306=VECTOR('',#12418,0.393700787401575)!#1307=VECTOR('',#12419,0.393700787401575)!#1308=VECTOR('',#12420,0.393700787401575)!#1309=VECTOR('',#12421,0.393700787401575)!#1310=VECTOR('',#12422,0.393700787401575)!#1311=VECTOR('',#12425,0.393700787401575)!#1312=VECTOR('',#12426,0.393700787401575)!#1313=VECTOR('',#12427,0.393700787401575)!#1314=VECTOR('',#12430,0.393700787401575)!#1315=VECTOR('',#12433,0.393700787401575)!#1316=VECTOR('',#12434,0.393700787401575)!#1317=VECTOR('',#12439,0.393700787401575)!#1318=VECTOR('',#12440,0.393700787401575)!#1319=VECTOR('',#12441,0.393700787401575)!#1320=VECTOR('',#12444,0.393700787401575)!#1321=VECTOR('',#12445,0.393700787401575)!#1322=VECTOR('',#12446,0.393700787401575)!#1323=VECTOR('',#12449,0.393700787401575)!#1324=VECTOR('',#12450,0.393700787401575)!#1325=VECTOR('',#12451,0.393700787401575)!#1326=VECTOR('',#12470,0.393700787401575)!#1327=VECTOR('',#12471,0.393700787401575)!#1328=VECTOR('',#12472,0.393700787401575)!#1329=VECTOR('',#12475,0.393700787401575)!#1330=VECTOR('',#12476,0.393700787401575)!#1331=VECTOR('',#12479,0.393700787401575)!#1332=VECTOR('',#12480,0.393700787401575)!#1333=VECTOR('',#12483,0.393700787401575)!#1334=VECTOR('',#12488,0.393700787401575)!#1335=VECTOR('',#12489,0.393700787401575)!#1336=VECTOR('',#12490,0.393700787401575)!#1337=VECTOR('',#12491,0.393700787401575)!#1338=VECTOR('',#12494,0.393700787401575)!#1339=VECTOR('',#12495,0.393700787401575)!#1340=VECTOR('',#12496,0.393700787401575)!#1341=VECTOR('',#12499,0.393700787401575)!#1342=VECTOR('',#12500,0.393700787401575)!#1343=VECTOR('',#12501,0.393700787401575)!#1344=VECTOR('',#12504,0.393700787401575)!#1345=VECTOR('',#12505,0.393700787401575)!#1346=VECTOR('',#12506,0.393700787401575)!#1347=VECTOR('',#12525,0.393700787401575)!#1348=VECTOR('',#12526,0.393700787401575)!#1349=VECTOR('',#12529,0.393700787401575)!#1350=VECTOR('',#12532,0.393700787401575)!#1351=VECTOR('',#12533,0.393700787401575)!#1352=VECTOR('',#12536,0.393700787401575)!#1353=VECTOR('',#12541,0.393700787401575)!#1354=VECTOR('',#12542,0.393700787401575)!#1355=VECTOR('',#12543,0.393700787401575)!#1356=VECTOR('',#12550,0.5)!#1357=VECTOR('',#12563,0.5)!#1358=VECTOR('',#12578,0.393700787401575)!#1359=VECTOR('',#12579,0.393700787401575)!#1360=VECTOR('',#12580,0.393700787401575)!#1361=VECTOR('',#12581,0.393700787401575)!#1362=VECTOR('',#12582,0.393700787401575)!#1363=VECTOR('',#12583,0.393700787401575)!#1364=VECTOR('',#12586,0.393700787401575)!#1365=VECTOR('',#12591,0.393700787401575)!#1366=VECTOR('',#12592,0.393700787401575)!#1367=VECTOR('',#12593,0.393700787401575)!#1368=VECTOR('',#12596,0.393700787401575)!#1369=VECTOR('',#12599,0.393700787401575)!#1370=VECTOR('',#12600,0.393700787401575)!#1371=VECTOR('',#12623,1.13)!#1372=VECTOR('',#12634,1.0025)!#1373=VECTOR('',#12653,1.15947223649159)!#1374=VECTOR('',#12686,1.05852776350841)!#1375=VECTOR('',#12695,1.2575)!#1376=VECTOR('',#12698,0.935)!#1377=VECTOR('',#12729,1.38875)!#1378=VECTOR('',#12736,1.2525)!#1379=VECTOR('',#12755,1.44097223649159)!#1380=VECTOR('',#12788,1.34002776350841)!#1381=VECTOR('',#12797,1.525)!#1382=VECTOR('',#12800,1.185)!#1383=VECTOR('',#12805,0.25)!#1384=VECTOR('',#12852,0.393700787401575)!#1385=VECTOR('',#12853,0.393700787401575)!#1386=VECTOR('',#12854,0.393700787401575)!#1387=VECTOR('',#12857,0.393700787401575)!#1388=VECTOR('',#12858,0.393700787401575)!#1389=VECTOR('',#12861,0.393700787401575)!#1390=VECTOR('',#12862,0.393700787401575)!#1391=VECTOR('',#12865,0.393700787401575)!#1392=VECTOR('',#12866,0.393700787401575)!#1393=VECTOR('',#12867,0.393700787401575)!#1394=VECTOR('',#12874,0.393700787401575)!#1395=VECTOR('',#12877,0.393700787401575)!#1396=VECTOR('',#12884,0.3375)!#1397=VECTOR('',#12889,0.25)!#1398=VECTOR('',#12936,0.393700787401575)!#1399=VECTOR('',#12937,0.393700787401575)!#1400=VECTOR('',#12938,0.393700787401575)!#1401=VECTOR('',#12941,0.393700787401575)!#1402=VECTOR('',#12942,0.393700787401575)!#1403=VECTOR('',#12945,0.393700787401575)!#1404=VECTOR('',#12946,0.393700787401575)!#1405=VECTOR('',#12949,0.393700787401575)!#1406=VECTOR('',#12950,0.393700787401575)!#1407=VECTOR('',#12951,0.393700787401575)!#1408=VECTOR('',#12958,0.393700787401575)!#1409=VECTOR('',#12961,0.393700787401575)!#1410=VECTOR('',#12968,0.3375)!#1411=VECTOR('',#12973,0.25)!#1412=VECTOR('',#13020,0.393700787401575)!#1413=VECTOR('',#13021,0.393700787401575)!#1414=VECTOR('',#13022,0.393700787401575)!#1415=VECTOR('',#13025,0.393700787401575)!#1416=VECTOR('',#13026,0.393700787401575)!#1417=VECTOR('',#13029,0.393700787401575)!#1418=VECTOR('',#13030,0.393700787401575)!#1419=VECTOR('',#13033,0.393700787401575)!#1420=VECTOR('',#13034,0.393700787401575)!#1421=VECTOR('',#13035,0.393700787401575)!#1422=VECTOR('',#13042,0.393700787401575)!#1423=VECTOR('',#13045,0.393700787401575)!#1424=VECTOR('',#13052,0.3375)!#1425=VECTOR('',#13057,0.25)!#1426=VECTOR('',#13104,0.393700787401575)!#1427=VECTOR('',#13105,0.393700787401575)!#1428=VECTOR('',#13106,0.393700787401575)!#1429=VECTOR('',#13109,0.393700787401575)!#1430=VECTOR('',#13110,0.393700787401575)!#1431=VECTOR('',#13113,0.393700787401575)!#1432=VECTOR('',#13114,0.393700787401575)!#1433=VECTOR('',#13117,0.393700787401575)!#1434=VECTOR('',#13118,0.393700787401575)!#1435=VECTOR('',#13119,0.393700787401575)!#1436=VECTOR('',#13126,0.393700787401575)!#1437=VECTOR('',#13129,0.393700787401575)!#1438=VECTOR('',#13136,0.3375)!#1439=VECTOR('',#13163,0.393700787401575)!#1440=VECTOR('',#13164,0.393700787401575)!#1441=VECTOR('',#13165,0.393700787401575)!#1442=VECTOR('',#13168,0.393700787401575)!#1443=VECTOR('',#13169,0.393700787401575)!#1444=VECTOR('',#13172,0.393700787401575)!#1445=VECTOR('',#13173,0.393700787401575)!#1446=VECTOR('',#13176,0.393700787401575)!#1447=VECTOR('',#13177,0.393700787401575)!#1448=VECTOR('',#13180,0.393700787401575)!#1449=VECTOR('',#13181,0.393700787401575)!#1450=VECTOR('',#13184,0.393700787401575)!#1451=VECTOR('',#13189,0.393700787401575)!#1452=VECTOR('',#13190,0.393700787401575)!#1453=VECTOR('',#13191,0.393700787401575)!#1454=VECTOR('',#13194,0.393700787401575)!#1455=VECTOR('',#13195,0.393700787401575)!#1456=VECTOR('',#13196,0.393700787401575)!#1457=VECTOR('',#13197,0.393700787401575)!#1458=VECTOR('',#13198,0.393700787401575)!#1459=VECTOR('',#13205,0.393700787401575)!#1460=VECTOR('',#13206,0.393700787401575)!#1461=VECTOR('',#13207,0.393700787401575)!#1462=VECTOR('',#13210,0.393700787401575)!#1463=VECTOR('',#13211,0.393700787401575)!#1464=VECTOR('',#13212,0.393700787401575)!#1465=VECTOR('',#13213,0.393700787401575)!#1466=VECTOR('',#13216,0.196850393700787)!#1467=VECTOR('',#13219,0.393700787401575)!#1468=VECTOR('',#13220,0.393700787401575)!#1469=VECTOR('',#13221,0.393700787401575)!#1470=VECTOR('',#13222,0.393700787401575)!#1471=VECTOR('',#13225,0.393700787401575)!#1472=VECTOR('',#13226,0.393700787401575)!#1473=VECTOR('',#13227,0.393700787401575)!#1474=VECTOR('',#13230,0.393700787401575)!#1475=VECTOR('',#13231,0.393700787401575)!#1476=VECTOR('',#13232,0.393700787401575)!#1477=VECTOR('',#13235,0.393700787401575)!#1478=VECTOR('',#13236,0.393700787401575)!#1479=VECTOR('',#13237,0.393700787401575)!#1480=VECTOR('',#13240,0.393700787401575)!#1481=VECTOR('',#13241,0.393700787401575)!#1482=VECTOR('',#13242,0.393700787401575)!#1483=VECTOR('',#13245,0.393700787401575)!#1484=VECTOR('',#13246,0.393700787401575)!#1485=VECTOR('',#13249,0.393700787401575)!#1486=VECTOR('',#13250,0.393700787401575)!#1487=VECTOR('',#13253,0.393700787401575)!#1488=VECTOR('',#13254,0.393700787401575)!#1489=VECTOR('',#13257,0.393700787401575)!#1490=VECTOR('',#13258,0.393700787401575)!#1491=VECTOR('',#13263,0.393700787401575)!#1492=VECTOR('',#13264,0.393700787401575)!#1493=VECTOR('',#13267,0.393700787401575)!#1494=VECTOR('',#13268,0.393700787401575)!#1495=VECTOR('',#13273,0.393700787401575)!#1496=VECTOR('',#13274,0.393700787401575)!#1497=VECTOR('',#13275,0.393700787401575)!#1498=VECTOR('',#13278,0.393700787401575)!#1499=VECTOR('',#13279,0.393700787401575)!#1500=VECTOR('',#13280,0.393700787401575)!#1501=VECTOR('',#13283,0.393700787401575)!#1502=VECTOR('',#13284,0.393700787401575)!#1503=VECTOR('',#13287,0.393700787401575)!#1504=VECTOR('',#13288,0.393700787401575)!#1505=VECTOR('',#13293,0.393700787401575)!#1506=VECTOR('',#13296,0.393700787401575)!#1507=VECTOR('',#13301,0.393700787401575)!#1508=VECTOR('',#13304,0.393700787401575)!#1509=VECTOR('',#13307,0.393700787401575)!#1510=VECTOR('',#13308,0.393700787401575)!#1511=VECTOR('',#13313,0.393700787401575)!#1512=VECTOR('',#13318,0.393700787401575)!#1513=VECTOR('',#13321,0.393700787401575)!#1514=VECTOR('',#13324,0.393700787401575)!#1515=VECTOR('',#13327,0.393700787401575)!#1516=VECTOR('',#13330,0.393700787401575)!#1517=VECTOR('',#13335,0.393700787401575)!#1518=VECTOR('',#13338,0.393700787401575)!#1519=VECTOR('',#13343,0.393700787401575)!#1520=VECTOR('',#13346,0.393700787401575)!#1521=VECTOR('',#13347,0.393700787401575)!#1522=VECTOR('',#13354,0.393700787401575)!#1523=VECTOR('',#13355,0.393700787401575)!#1524=VECTOR('',#13360,0.393700787401575)!#1525=VECTOR('',#13361,0.393700787401575)!#1526=VECTOR('',#13362,0.393700787401575)!#1527=VECTOR('',#13365,0.393700787401575)!#1528=VECTOR('',#13366,0.393700787401575)!#1529=VECTOR('',#13371,0.393700787401575)!#1530=VECTOR('',#13380,0.393700787401575)!#1531=VECTOR('',#13383,0.393700787401575)!#1532=VECTOR('',#13386,0.393700787401575)!#1533=VECTOR('',#13393,0.393700787401575)!#1534=VECTOR('',#13400,0.393700787401575)!#1535=VECTOR('',#13405,0.393700787401575)!#1536=VECTOR('',#13408,0.393700787401575)!#1537=VECTOR('',#13411,0.393700787401575)!#1538=VECTOR('',#13414,0.393700787401575)!#1539=VECTOR('',#13421,0.393700787401575)!#1540=VECTOR('',#13422,0.393700787401575)!#1541=VECTOR('',#13431,0.393700787401575)!#1542=VECTOR('',#13432,0.393700787401575)!#1543=VECTOR('',#13437,0.393700787401575)!#1544=VECTOR('',#13438,0.393700787401575)!#1545=VECTOR('',#13441,0.393700787401575)!#1546=VECTOR('',#13442,0.393700787401575)!#1547=VECTOR('',#13443,0.393700787401575)!#1548=VECTOR('',#13446,0.393700787401575)!#1549=VECTOR('',#13453,0.393700787401575)!#1550=VECTOR('',#13456,0.393700787401575)!#1551=VECTOR('',#13463,0.393700787401575)!#1552=VECTOR('',#13470,0.393700787401575)!#1553=VECTOR('',#13473,0.393700787401575)!#1554=VECTOR('',#13478,0.393700787401575)!#1555=VECTOR('',#13481,0.393700787401575)!#1556=VECTOR('',#13500,0.393700787401575)!#1557=VECTOR('',#13501,0.393700787401575)!#1558=VECTOR('',#13510,0.393700787401575)!#1559=VECTOR('',#13511,0.393700787401575)!#1560=VECTOR('',#13528,3.74015748031496)!#1561=VECTOR('',#13541,3.30708661417323)!#1562=VECTOR('',#13552,0.393700787401575)!#1563=VECTOR('',#13565,3.55600294570248)!#1564=VECTOR('',#13580,2.65748031496063)!#1565=VECTOR('',#13603,0.833661417322835)!#1566=VECTOR('',#13610,0.663385826771653)!#1567=VECTOR('',#13617,1.25)!#1568=VECTOR('',#13654,1.93051181102362)!#1569=VECTOR('',#13673,2.68474409448819)!#1570=VECTOR('',#13690,3.59821999661435)!#1571=VECTOR('',#13695,3.4251968503937)!#1572=VECTOR('',#13708,2.6332273463077)!#1573=VECTOR('',#13727,2.59842519685039)!#1574=VECTOR('',#13746,0.393700787401575)!#1575=VECTOR('',#13749,0.393700787401575)!#1576=VECTOR('',#13754,0.393700787401575)!#1577=VECTOR('',#13757,0.393700787401575)!#1578=VECTOR('',#13762,0.393700787401575)!#1579=VECTOR('',#13765,0.393700787401575)!#1580=VECTOR('',#13768,0.393700787401575)!#1581=VECTOR('',#13769,0.393700787401575)!#1582=VECTOR('',#13774,0.393700787401575)!#1583=VECTOR('',#13775,0.393700787401575)!#1584=VECTOR('',#13778,0.393700787401575)!#1585=VECTOR('',#13779,0.393700787401575)!#1586=VECTOR('',#13784,2.7996838498036)!#1587=VECTOR('',#13819,0.393700787401575)!#1588=VECTOR('',#13820,0.393700787401575)!#1589=VECTOR('',#13821,0.393700787401575)!#1590=VECTOR('',#13824,0.393700787401575)!#1591=VECTOR('',#13825,0.393700787401575)!#1592=VECTOR('',#13826,0.393700787401575)!#1593=VECTOR('',#13827,0.393700787401575)!#1594=VECTOR('',#13828,0.393700787401575)!#1595=VECTOR('',#13867,0.393700787401575)!#1596=VECTOR('',#13868,0.393700787401575)!#1597=VECTOR('',#13869,0.393700787401575)!#1598=VECTOR('',#13872,0.393700787401575)!#1599=VECTOR('',#13875,0.393700787401575)!#1600=VECTOR('',#13878,0.393700787401575)!#1601=VECTOR('',#13881,0.393700787401575)!#1602=VECTOR('',#13884,0.393700787401575)!#1603=VECTOR('',#13885,0.393700787401575)!#1604=VECTOR('',#13886,0.393700787401575)!#1605=VECTOR('',#13887,0.393700787401575)!#1606=VECTOR('',#13890,0.393700787401575)!#1607=VECTOR('',#13891,0.393700787401575)!#1608=VECTOR('',#13892,0.393700787401575)!#1609=VECTOR('',#13897,0.393700787401575)!#1610=VECTOR('',#13900,0.393700787401575)!#1611=VECTOR('',#13905,0.393700787401575)!#1612=VECTOR('',#13912,0.393700787401575)!#1613=VECTOR('',#13913,0.393700787401575)!#1614=VECTOR('',#13916,0.393700787401575)!#1615=VECTOR('',#13917,0.393700787401575)!#1616=VECTOR('',#13918,0.393700787401575)!#1617=VECTOR('',#13921,0.393700787401575)!#1618=VECTOR('',#13932,0.393700787401575)!#1619=VECTOR('',#13933,0.393700787401575)!#1620=VECTOR('',#13934,0.393700787401575)!#1621=VECTOR('',#13935,0.393700787401575)!#1622=VECTOR('',#13936,0.393700787401575)!#1623=VECTOR('',#13937,0.393700787401575)!#1624=VECTOR('',#13946,0.393700787401575)!#1625=VECTOR('',#13949,0.393700787401575)!#1626=VECTOR('',#13952,0.393700787401575)!#1627=VECTOR('',#13957,0.393700787401575)!#1628=VECTOR('',#13958,0.393700787401575)!#1629=VECTOR('',#13961,0.393700787401575)!#1630=VECTOR('',#13964,0.393700787401575)!#1631=VECTOR('',#13967,0.393700787401575)!#1632=VECTOR('',#13968,0.393700787401575)!#1633=VECTOR('',#13971,0.393700787401575)!#1634=VECTOR('',#13978,0.393700787401575)!#1635=VECTOR('',#13983,0.393700787401575)!#1636=VECTOR('',#13984,0.393700787401575)!#1637=VECTOR('',#13987,0.393700787401575)!#1638=VECTOR('',#13988,0.393700787401575)!#1639=VECTOR('',#13989,0.393700787401575)!#1640=VECTOR('',#13990,0.393700787401575)!#1641=VECTOR('',#13993,0.393700787401575)!#1642=VECTOR('',#13994,0.393700787401575)!#1643=VECTOR('',#13995,0.393700787401575)!#1644=VECTOR('',#13996,0.393700787401575)!#1645=VECTOR('',#13997,0.393700787401575)!#1646=VECTOR('',#14002,0.393700787401575)!#1647=VECTOR('',#14003,0.393700787401575)!#1648=VECTOR('',#14004,0.393700787401575)!#1649=VECTOR('',#14009,0.393700787401575)!#1650=VECTOR('',#14010,0.393700787401575)!#1651=VECTOR('',#14015,0.393700787401575)!#1652=VECTOR('',#14016,0.393700787401575)!#1653=VECTOR('',#14017,0.393700787401575)!#1654=VECTOR('',#14026,0.393700787401575)!#1655=VECTOR('',#14027,0.393700787401575)!#1656=VECTOR('',#14028,0.393700787401575)!#1657=VECTOR('',#14031,0.393700787401575)!#1658=VECTOR('',#14032,0.393700787401575)!#1659=VECTOR('',#14033,0.393700787401575)!#1660=VECTOR('',#14044,0.393700787401575)!#1661=VECTOR('',#14045,0.393700787401575)!#1662=VECTOR('',#14046,0.393700787401575)!#1663=VECTOR('',#14049,0.393700787401575)!#1664=VECTOR('',#14050,0.393700787401575)!#1665=VECTOR('',#14051,0.393700787401575)!#1666=VECTOR('',#14054,0.393700787401575)!#1667=VECTOR('',#14055,0.393700787401575)!#1668=VECTOR('',#14056,0.393700787401575)!#1669=VECTOR('',#14057,0.393700787401575)!#1670=VECTOR('',#14060,0.393700787401575)!#1671=VECTOR('',#14061,0.393700787401575)!#1672=VECTOR('',#14064,0.393700787401575)!#1673=VECTOR('',#14065,0.393700787401575)!#1674=VECTOR('',#14066,0.393700787401575)!#1675=VECTOR('',#14075,0.393700787401575)!#1676=VECTOR('',#14076,0.393700787401575)!#1677=VECTOR('',#14077,0.393700787401575)!#1678=VECTOR('',#14078,0.393700787401575)!#1679=VECTOR('',#14079,0.393700787401575)!#1680=VECTOR('',#14080,0.393700787401575)!#1681=VECTOR('',#14083,0.393700787401575)!#1682=VECTOR('',#14084,0.393700787401575)!#1683=VECTOR('',#14085,0.393700787401575)!#1684=VECTOR('',#14088,0.393700787401575)!#1685=VECTOR('',#14089,0.393700787401575)!#1686=VECTOR('',#14092,0.393700787401575)!#1687=VECTOR('',#14093,0.393700787401575)!#1688=VECTOR('',#14096,0.393700787401575)!#1689=VECTOR('',#14097,0.393700787401575)!#1690=VECTOR('',#14100,0.393700787401575)!#1691=VECTOR('',#14103,0.393700787401575)!#1692=VECTOR('',#14106,0.393700787401575)!#1693=VECTOR('',#14109,0.393700787401575)!#1694=VECTOR('',#14120,0.196850393700787)!#1695=VECTOR('',#14137,0.393700787401575)!#1696=VECTOR('',#14138,0.393700787401575)!#1697=VECTOR('',#14141,0.393700787401575)!#1698=VECTOR('',#14144,0.393700787401575)!#1699=VECTOR('',#14147,0.393700787401575)!#1700=VECTOR('',#14150,0.393700787401575)!#1701=VECTOR('',#14175,4.52000000000001)!#1702=VECTOR('',#14188,4.78)!#1703=VECTOR('',#14191,0.393700787401575)!#1704=VECTOR('',#14194,4.78)!#1705=VECTOR('',#14201,0.393700787401575)!#1706=VECTOR('',#14204,0.393700787401575)!#1707=VECTOR('',#14207,0.393700787401575)!#1708=VECTOR('',#14218,0.393700787401575)!#1709=VECTOR('',#14219,0.393700787401575)!#1710=VECTOR('',#14220,0.393700787401575)!#1711=VECTOR('',#14223,0.393700787401575)!#1712=VECTOR('',#14224,0.393700787401575)!#1713=VECTOR('',#14225,0.393700787401575)!#1714=VECTOR('',#14230,0.393700787401575)!#1715=VECTOR('',#14233,0.393700787401575)!#1716=VECTOR('',#14236,0.393700787401575)!#1717=VECTOR('',#14237,0.393700787401575)!#1718=VECTOR('',#14238,0.393700787401575)!#1719=VECTOR('',#14243,0.393700787401575)!#1720=VECTOR('',#14248,0.393700787401575)!#1721=VECTOR('',#14249,0.393700787401575)!#1722=VECTOR('',#14250,0.393700787401575)!#1723=VECTOR('',#14255,0.393700787401575)!#1724=VECTOR('',#14262,0.393700787401575)!#1725=VECTOR('',#14263,0.393700787401575)!#1726=VECTOR('',#14266,0.393700787401575)!#1727=VECTOR('',#14267,0.393700787401575)!#1728=VECTOR('',#14268,0.393700787401575)!#1729=VECTOR('',#14269,0.393700787401575)!#1730=VECTOR('',#14270,0.393700787401575)!#1731=VECTOR('',#14271,0.393700787401575)!#1732=VECTOR('',#14272,0.393700787401575)!#1733=VECTOR('',#14277,0.393700787401575)!#1734=VECTOR('',#14278,0.393700787401575)!#1735=VECTOR('',#14283,0.393700787401575)!#1736=VECTOR('',#14288,0.393700787401575)!#1737=VECTOR('',#14289,0.393700787401575)!#1738=VECTOR('',#14290,0.393700787401575)!#1739=VECTOR('',#14295,0.393700787401575)!#1740=VECTOR('',#14300,0.393700787401575)!#1741=VECTOR('',#14301,0.393700787401575)!#1742=VECTOR('',#14302,0.393700787401575)!#1743=VECTOR('',#14303,0.393700787401575)!#1744=VECTOR('',#14308,0.393700787401575)!#1745=VECTOR('',#14311,0.393700787401575)!#1746=VECTOR('',#14314,0.393700787401575)!#1747=VECTOR('',#14315,0.393700787401575)!#1748=VECTOR('',#14316,0.393700787401575)!#1749=VECTOR('',#14333,0.393700787401575)!#1750=VECTOR('',#14334,0.393700787401575)!#1751=VECTOR('',#14337,0.393700787401575)!#1752=VECTOR('',#14338,0.393700787401575)!#1753=VECTOR('',#14339,0.393700787401575)!#1754=VECTOR('',#14340,0.393700787401575)!#1755=VECTOR('',#14341,0.393700787401575)!#1756=VECTOR('',#14342,0.393700787401575)!#1757=VECTOR('',#14343,0.393700787401575)!#1758=VECTOR('',#14346,0.393700787401575)!#1759=VECTOR('',#14347,0.393700787401575)!#1760=VECTOR('',#14352,0.393700787401575)!#1761=VECTOR('',#14359,0.393700787401575)!#1762=VECTOR('',#14362,0.393700787401575)!#1763=VECTOR('',#14365,0.393700787401575)!#1764=VECTOR('',#14368,0.393700787401575)!#1765=VECTOR('',#14369,0.393700787401575)!#1766=VECTOR('',#14370,0.393700787401575)!#1767=VECTOR('',#14373,0.393700787401575)!#1768=VECTOR('',#14374,0.393700787401575)!#1769=VECTOR('',#14379,0.393700787401575)!#1770=VECTOR('',#14392,0.239)!#1771=VECTOR('',#14405,0.113210526315789)!#1772=VECTOR('',#14408,0.788629092122372)!#1773=VECTOR('',#14419,0.205)!#1774=VECTOR('',#14424,0.205)!#1775=VECTOR('',#14427,5.11)!#1776=VECTOR('',#14446,0.393700787401575)!#1777=VECTOR('',#14453,0.393700787401575)!#1778=VECTOR('',#14454,0.393700787401575)!#1779=VECTOR('',#14455,0.393700787401575)!#1780=VECTOR('',#14456,0.393700787401575)!#1781=VECTOR('',#14457,0.393700787401575)!#1782=VECTOR('',#14458,0.393700787401575)!#1783=VECTOR('',#14459,0.393700787401575)!#1784=VECTOR('',#14460,0.393700787401575)!#1785=VECTOR('',#14463,0.393700787401575)!#1786=VECTOR('',#14466,0.393700787401575)!#1787=VECTOR('',#14469,0.393700787401575)!#1788=VECTOR('',#14474,0.393700787401575)!#1789=VECTOR('',#14475,0.393700787401575)!#1790=VECTOR('',#14480,0.393700787401575)!#1791=VECTOR('',#14481,0.393700787401575)!#1792=VECTOR('',#14490,0.393700787401575)!#1793=VECTOR('',#14493,0.393700787401575)!#1794=VECTOR('',#14500,0.393700787401575)!#1795=VECTOR('',#14503,0.393700787401575)!#1796=VECTOR('',#14506,0.393700787401575)!#1797=VECTOR('',#14509,0.393700787401575)!#1798=VECTOR('',#14512,0.393700787401575)!#1799=VECTOR('',#14513,0.393700787401575)!#1800=VECTOR('',#14514,0.393700787401575)!#1801=VECTOR('',#14515,0.393700787401575)!#1802=VECTOR('',#14516,0.393700787401575)!#1803=VECTOR('',#14517,0.393700787401575)!#1804=VECTOR('',#14522,0.393700787401575)!#1805=VECTOR('',#14527,0.393700787401575)!#1806=VECTOR('',#14532,0.393700787401575)!#1807=VECTOR('',#14533,0.393700787401575)!#1808=VECTOR('',#14534,0.393700787401575)!#1809=VECTOR('',#14577,0.393700787401575)!#1810=VECTOR('',#14580,0.393700787401575)!#1811=VECTOR('',#14585,0.393700787401575)!#1812=VECTOR('',#14588,0.393700787401575)!#1813=VECTOR('',#14593,3.64121928287447)!#1814=VECTOR('',#14612,3.46456692913386)!#1815=VECTOR('',#14625,3.50393700787402)!#1816=VECTOR('',#14686,4.52755905511811)!#1817=VECTOR('',#14695,0.531496062992126)!#1818=VECTOR('',#14708,0.531496062992126)!#1819=VECTOR('',#14721,0.531496062992126)!#1820=VECTOR('',#14734,0.531496062992126)!#1821=VECTOR('',#14749,0.275590551181102)!#1822=VECTOR('',#14752,0.275590551181102)!#1823=VECTOR('',#14755,0.275590551181102)!#1824=VECTOR('',#14758,0.275590551181102)!#1825=VECTOR('',#14775,0.393700787401575)!#1826=VECTOR('',#14776,0.393700787401575)!#1827=VECTOR('',#14779,0.393700787401575)!#1828=VECTOR('',#14782,0.393700787401575)!#1829=VECTOR('',#14785,0.393700787401575)!#1830=VECTOR('',#14788,0.393700787401575)!#1831=VECTOR('',#14819,0.393700787401575)!#1832=VECTOR('',#14820,0.393700787401575)!#1833=VECTOR('',#14823,0.393700787401575)!#1834=VECTOR('',#14826,0.393700787401575)!#1835=VECTOR('',#14829,0.393700787401575)!#1836=VECTOR('',#14832,0.393700787401575)!#1837=PLANE('',#10820)!#1838=PLANE('',#10821)!#1839=PLANE('',#10822)!#1840=PLANE('',#10823)!#1841=PLANE('',#10824)!#1842=PLANE('',#10825)!#1843=PLANE('',#10826)!#1844=PLANE('',#10839)!#1845=PLANE('',#10840)!#1846=PLANE('',#10841)!#1847=PLANE('',#10842)!#1848=PLANE('',#10843)!#1849=PLANE('',#10844)!#1850=PLANE('',#10845)!#1851=PLANE('',#10846)!#1852=PLANE('',#10847)!#1853=PLANE('',#10848)!#1854=PLANE('',#10849)!#1855=PLANE('',#10850)!#1856=PLANE('',#10851)!#1857=PLANE('',#10852)!#1858=PLANE('',#10853)!#1859=PLANE('',#10854)!#1860=PLANE('',#10855)!#1861=PLANE('',#10856)!#1862=PLANE('',#10857)!#1863=PLANE('',#10858)!#1864=PLANE('',#10859)!#1865=PLANE('',#10860)!#1866=PLANE('',#10861)!#1867=PLANE('',#10862)!#1868=PLANE('',#10863)!#1869=PLANE('',#10864)!#1870=PLANE('',#10865)!#1871=PLANE('',#10866)!#1872=PLANE('',#10867)!#1873=PLANE('',#10868)!#1874=PLANE('',#10869)!#1875=PLANE('',#10870)!#1876=PLANE('',#10874)!#1877=PLANE('',#10875)!#1878=PLANE('',#10876)!#1879=PLANE('',#10877)!#1880=PLANE('',#10878)!#1881=PLANE('',#10879)!#1882=PLANE('',#10880)!#1883=PLANE('',#10881)!#1884=PLANE('',#10882)!#1885=PLANE('',#10883)!#1886=PLANE('',#10884)!#1887=PLANE('',#10885)!#1888=PLANE('',#10887)!#1889=PLANE('',#10888)!#1890=PLANE('',#10889)!#1891=PLANE('',#10890)!#1892=PLANE('',#10891)!#1893=PLANE('',#10892)!#1894=PLANE('',#10893)!#1895=PLANE('',#10894)!#1896=PLANE('',#10895)!#1897=PLANE('',#10896)!#1898=PLANE('',#10897)!#1899=PLANE('',#10898)!#1900=PLANE('',#10899)!#1901=PLANE('',#10900)!#1902=PLANE('',#10901)!#1903=PLANE('',#10902)!#1904=PLANE('',#10911)!#1905=PLANE('',#10912)!#1906=PLANE('',#10913)!#1907=PLANE('',#10914)!#1908=PLANE('',#10915)!#1909=PLANE('',#10916)!#1910=PLANE('',#10917)!#1911=PLANE('',#10918)!#1912=PLANE('',#10919)!#1913=PLANE('',#10928)!#1914=PLANE('',#10929)!#1915=PLANE('',#10930)!#1916=PLANE('',#10931)!#1917=PLANE('',#10932)!#1918=PLANE('',#10933)!#1919=PLANE('',#10946)!#1920=PLANE('',#10949)!#1921=PLANE('',#10952)!#1922=PLANE('',#10953)!#1923=PLANE('',#10954)!#1924=PLANE('',#10955)!#1925=PLANE('',#10956)!#1926=PLANE('',#10957)!#1927=PLANE('',#10958)!#1928=PLANE('',#10967)!#1929=PLANE('',#10968)!#1930=PLANE('',#10969)!#1931=PLANE('',#10970)!#1932=PLANE('',#10971)!#1933=PLANE('',#10972)!#1934=PLANE('',#10973)!#1935=PLANE('',#10974)!#1936=PLANE('',#10975)!#1937=PLANE('',#10984)!#1938=PLANE('',#10985)!#1939=PLANE('',#10986)!#1940=PLANE('',#10987)!#1941=PLANE('',#10988)!#1942=PLANE('',#10989)!#1943=PLANE('',#11002)!#1944=PLANE('',#11005)!#1945=PLANE('',#11008)!#1946=PLANE('',#11009)!#1947=PLANE('',#11010)!#1948=PLANE('',#11011)!#1949=PLANE('',#11012)!#1950=PLANE('',#11037)!#1951=PLANE('',#11046)!#1952=PLANE('',#11057)!#1953=PLANE('',#11062)!#1954=PLANE('',#11085)!#1955=PLANE('',#11094)!#1956=PLANE('',#11114)!#1957=PLANE('',#11129)!#1958=PLANE('',#11130)!#1959=PLANE('',#11131)!#1960=PLANE('',#11132)!#1961=PLANE('',#11135)!#1962=PLANE('',#11136)!#1963=PLANE('',#11137)!#1964=PLANE('',#11138)!#1965=PLANE('',#11149)!#1966=PLANE('',#11164)!#1967=PLANE('',#11165)!#1968=PLANE('',#11166)!#1969=PLANE('',#11167)!#1970=PLANE('',#11170)!#1971=PLANE('',#11171)!#1972=PLANE('',#11172)!#1973=PLANE('',#11173)!#1974=PLANE('',#11184)!#1975=PLANE('',#11199)!#1976=PLANE('',#11200)!#1977=PLANE('',#11201)!#1978=PLANE('',#11202)!#1979=PLANE('',#11205)!#1980=PLANE('',#11206)!#1981=PLANE('',#11207)!#1982=PLANE('',#11208)!#1983=PLANE('',#11219)!#1984=PLANE('',#11234)!#1985=PLANE('',#11235)!#1986=PLANE('',#11236)!#1987=PLANE('',#11237)!#1988=PLANE('',#11240)!#1989=PLANE('',#11241)!#1990=PLANE('',#11242)!#1991=PLANE('',#11243)!#1992=PLANE('',#11257)!#1993=PLANE('',#11258)!#1994=PLANE('',#11259)!#1995=PLANE('',#11260)!#1996=PLANE('',#11261)!#1997=PLANE('',#11262)!#1998=PLANE('',#11263)!#1999=PLANE('',#11264)!#2000=PLANE('',#11265)!#2001=PLANE('',#11268)!#2002=PLANE('',#11269)!#2003=PLANE('',#11271)!#2004=PLANE('',#11272)!#2005=PLANE('',#11273)!#2006=PLANE('',#11274)!#2007=PLANE('',#11275)!#2008=PLANE('',#11276)!#2009=PLANE('',#11277)!#2010=PLANE('',#11278)!#2011=PLANE('',#11279)!#2012=PLANE('',#11280)!#2013=PLANE('',#11281)!#2014=PLANE('',#11282)!#2015=PLANE('',#11283)!#2016=PLANE('',#11284)!#2017=PLANE('',#11285)!#2018=PLANE('',#11286)!#2019=PLANE('',#11287)!#2020=PLANE('',#11295)!#2021=PLANE('',#11297)!#2022=PLANE('',#11302)!#2023=PLANE('',#11303)!#2024=PLANE('',#11305)!#2025=PLANE('',#11308)!#2026=PLANE('',#11309)!#2027=PLANE('',#11310)!#2028=PLANE('',#11313)!#2029=PLANE('',#11314)!#2030=PLANE('',#11317)!#2031=PLANE('',#11335)!#2032=PLANE('',#11338)!#2033=PLANE('',#11341)!#2034=PLANE('',#11343)!#2035=PLANE('',#11344)!#2036=PLANE('',#11345)!#2037=PLANE('',#11348)!#2038=PLANE('',#11349)!#2039=PLANE('',#11357)!#2040=PLANE('',#11358)!#2041=PLANE('',#11367)!#2042=PLANE('',#11371)!#2043=PLANE('',#11398)!#2044=PLANE('',#11407)!#2045=PLANE('',#11411)!#2046=PLANE('',#11419)!#2047=PLANE('',#11428)!#2048=PLANE('',#11444)!#2049=PLANE('',#11481)!#2050=PLANE('',#11484)!#2051=PLANE('',#11511)!#2052=PLANE('',#11512)!#2053=PLANE('',#11531)!#2054=PLANE('',#11534)!#2055=PLANE('',#11536)!#2056=PLANE('',#11537)!#2057=PLANE('',#11543)!#2058=PLANE('',#11546)!#2059=PLANE('',#11547)!#2060=PLANE('',#11548)!#2061=PLANE('',#11551)!#2062=PLANE('',#11553)!#2063=PLANE('',#11559)!#2064=PLANE('',#11563)!#2065=PLANE('',#11564)!#2066=PLANE('',#11565)!#2067=PLANE('',#11566)!#2068=PLANE('',#11570)!#2069=PLANE('',#11573)!#2070=PLANE('',#11574)!#2071=PLANE('',#11577)!#2072=PLANE('',#11581)!#2073=PLANE('',#11582)!#2074=PLANE('',#11587)!#2075=PLANE('',#11588)!#2076=PLANE('',#11589)!#2077=PLANE('',#11590)!#2078=PLANE('',#11591)!#2079=PLANE('',#11592)!#2080=PLANE('',#11595)!#2081=PLANE('',#11596)!#2082=PLANE('',#11597)!#2083=PLANE('',#11598)!#2084=PLANE('',#11599)!#2085=PLANE('',#11600)!#2086=PLANE('',#11601)!#2087=PLANE('',#11602)!#2088=PLANE('',#11603)!#2089=PLANE('',#11604)!#2090=PLANE('',#11605)!#2091=PLANE('',#11606)!#2092=PLANE('',#11609)!#2093=PLANE('',#11616)!#2094=PLANE('',#11617)!#2095=PLANE('',#11618)!#2096=PLANE('',#11619)!#2097=PLANE('',#11620)!#2098=PLANE('',#11621)!#2099=PLANE('',#11628)!#2100=PLANE('',#11635)!#2101=PLANE('',#11647)!#2102=PLANE('',#11650)!#2103=PLANE('',#11651)!#2104=PLANE('',#11655)!#2105=PLANE('',#11659)!#2106=PLANE('',#11663)!#2107=PLANE('',#11667)!#2108=PLANE('',#11671)!#2109=PLANE('',#11675)!#2110=PLANE('',#11679)!#2111=PLANE('',#11686)!#2112=PLANE('',#11689)!#2113=PLANE('',#11692)!#2114=PLANE('',#11697)!#2115=PLANE('',#11700)!#2116=PLANE('',#11701)!#2117=PLANE('',#11709)!#2118=PLANE('',#11725)!#2119=PLANE('',#11732)!#2120=PLANE('',#11737)!#2121=PLANE('',#11740)!#2122=PLANE('',#11743)!#2123=PLANE('',#11745)!#2124=PLANE('',#11747)!#2125=PLANE('',#11752)!#2126=PLANE('',#11753)!#2127=PLANE('',#11758)!#2128=PLANE('',#11780)!#2129=PLANE('',#11783)!#2130=PLANE('',#11797)!#2131=PLANE('',#11810)!#2132=PLANE('',#11813)!#2133=PLANE('',#11816)!#2134=PLANE('',#11819)!#2135=PLANE('',#11822)!#2136=PLANE('',#11824)!#2137=PLANE('',#11826)!#2138=PLANE('',#11837)!#2139=PLANE('',#11843)!#2140=PLANE('',#11849)!#2141=PLANE('',#11855)!#2142=PLANE('',#11857)!#2143=PLANE('',#11858)!#2144=PLANE('',#11863)!#2145=PLANE('',#11870)!#2146=PLANE('',#11871)!#2147=PLANE('',#11872)!#2148=PLANE('',#11873)!#2149=PLANE('',#11874)!#2150=PLANE('',#11875)!#2151=PLANE('',#11882)!#2152=PLANE('',#11889)!#2153=PLANE('',#11890)!#2154=PLANE('',#11891)!#2155=PLANE('',#11892)!#2156=PLANE('',#11893)!#2157=PLANE('',#11894)!#2158=FACE_OUTER_BOUND('',#2749,.T.)!#2159=FACE_OUTER_BOUND('',#2750,.T.)!#2160=FACE_OUTER_BOUND('',#2751,.T.)!#2161=FACE_OUTER_BOUND('',#2752,.T.)!#2162=FACE_OUTER_BOUND('',#2753,.T.)!#2163=FACE_OUTER_BOUND('',#2754,.T.)!#2164=FACE_OUTER_BOUND('',#2755,.T.)!#2165=FACE_OUTER_BOUND('',#2756,.T.)!#2166=FACE_OUTER_BOUND('',#2757,.T.)!#2167=FACE_OUTER_BOUND('',#2758,.T.)!#2168=FACE_OUTER_BOUND('',#2759,.T.)!#2169=FACE_OUTER_BOUND('',#2760,.T.)!#2170=FACE_OUTER_BOUND('',#2761,.T.)!#2171=FACE_OUTER_BOUND('',#2762,.T.)!#2172=FACE_OUTER_BOUND('',#2763,.T.)!#2173=FACE_OUTER_BOUND('',#2764,.T.)!#2174=FACE_OUTER_BOUND('',#2765,.T.)!#2175=FACE_OUTER_BOUND('',#2766,.T.)!#2176=FACE_OUTER_BOUND('',#2767,.T.)!#2177=FACE_OUTER_BOUND('',#2768,.T.)!#2178=FACE_OUTER_BOUND('',#2769,.T.)!#2179=FACE_OUTER_BOUND('',#2770,.T.)!#2180=FACE_OUTER_BOUND('',#2771,.T.)!#2181=FACE_OUTER_BOUND('',#2772,.T.)!#2182=FACE_OUTER_BOUND('',#2773,.T.)!#2183=FACE_OUTER_BOUND('',#2774,.T.)!#2184=FACE_OUTER_BOUND('',#2775,.T.)!#2185=FACE_OUTER_BOUND('',#2776,.T.)!#2186=FACE_OUTER_BOUND('',#2777,.T.)!#2187=FACE_OUTER_BOUND('',#2778,.T.)!#2188=FACE_OUTER_BOUND('',#2779,.T.)!#2189=FACE_OUTER_BOUND('',#2780,.T.)!#2190=FACE_OUTER_BOUND('',#2781,.T.)!#2191=FACE_OUTER_BOUND('',#2782,.T.)!#2192=FACE_OUTER_BOUND('',#2783,.T.)!#2193=FACE_OUTER_BOUND('',#2784,.T.)!#2194=FACE_OUTER_BOUND('',#2785,.T.)!#2195=FACE_OUTER_BOUND('',#2786,.T.)!#2196=FACE_OUTER_BOUND('',#2787,.T.)!#2197=FACE_OUTER_BOUND('',#2788,.T.)!#2198=FACE_OUTER_BOUND('',#2789,.T.)!#2199=FACE_OUTER_BOUND('',#2790,.T.)!#2200=FACE_OUTER_BOUND('',#2791,.T.)!#2201=FACE_OUTER_BOUND('',#2792,.T.)!#2202=FACE_OUTER_BOUND('',#2793,.T.)!#2203=FACE_OUTER_BOUND('',#2794,.T.)!#2204=FACE_OUTER_BOUND('',#2795,.T.)!#2205=FACE_OUTER_BOUND('',#2796,.T.)!#2206=FACE_OUTER_BOUND('',#2797,.T.)!#2207=FACE_OUTER_BOUND('',#2798,.T.)!#2208=FACE_OUTER_BOUND('',#2799,.T.)!#2209=FACE_OUTER_BOUND('',#2800,.T.)!#2210=FACE_OUTER_BOUND('',#2801,.T.)!#2211=FACE_OUTER_BOUND('',#2802,.T.)!#2212=FACE_OUTER_BOUND('',#2803,.T.)!#2213=FACE_OUTER_BOUND('',#2804,.T.)!#2214=FACE_OUTER_BOUND('',#2805,.T.)!#2215=FACE_OUTER_BOUND('',#2806,.T.)!#2216=FACE_OUTER_BOUND('',#2807,.T.)!#2217=FACE_OUTER_BOUND('',#2808,.T.)!#2218=FACE_OUTER_BOUND('',#2809,.T.)!#2219=FACE_OUTER_BOUND('',#2810,.T.)!#2220=FACE_OUTER_BOUND('',#2811,.T.)!#2221=FACE_OUTER_BOUND('',#2812,.T.)!#2222=FACE_OUTER_BOUND('',#2813,.T.)!#2223=FACE_OUTER_BOUND('',#2814,.T.)!#2224=FACE_OUTER_BOUND('',#2815,.T.)!#2225=FACE_OUTER_BOUND('',#2816,.T.)!#2226=FACE_OUTER_BOUND('',#2817,.T.)!#2227=FACE_OUTER_BOUND('',#2818,.T.)!#2228=FACE_OUTER_BOUND('',#2819,.T.)!#2229=FACE_OUTER_BOUND('',#2820,.T.)!#2230=FACE_OUTER_BOUND('',#2821,.T.)!#2231=FACE_OUTER_BOUND('',#2822,.T.)!#2232=FACE_OUTER_BOUND('',#2823,.T.)!#2233=FACE_OUTER_BOUND('',#2824,.T.)!#2234=FACE_OUTER_BOUND('',#2825,.T.)!#2235=FACE_OUTER_BOUND('',#2826,.T.)!#2236=FACE_OUTER_BOUND('',#2827,.T.)!#2237=FACE_OUTER_BOUND('',#2828,.T.)!#2238=FACE_OUTER_BOUND('',#2829,.T.)!#2239=FACE_OUTER_BOUND('',#2830,.T.)!#2240=FACE_OUTER_BOUND('',#2831,.T.)!#2241=FACE_OUTER_BOUND('',#2832,.T.)!#2242=FACE_OUTER_BOUND('',#2833,.T.)!#2243=FACE_OUTER_BOUND('',#2834,.T.)!#2244=FACE_OUTER_BOUND('',#2835,.T.)!#2245=FACE_OUTER_BOUND('',#2836,.T.)!#2246=FACE_OUTER_BOUND('',#2837,.T.)!#2247=FACE_OUTER_BOUND('',#2838,.T.)!#2248=FACE_OUTER_BOUND('',#2839,.T.)!#2249=FACE_OUTER_BOUND('',#2840,.T.)!#2250=FACE_OUTER_BOUND('',#2841,.T.)!#2251=FACE_OUTER_BOUND('',#2842,.T.)!#2252=FACE_OUTER_BOUND('',#2843,.T.)!#2253=FACE_OUTER_BOUND('',#2844,.T.)!#2254=FACE_OUTER_BOUND('',#2845,.T.)!#2255=FACE_OUTER_BOUND('',#2846,.T.)!#2256=FACE_OUTER_BOUND('',#2847,.T.)!#2257=FACE_OUTER_BOUND('',#2848,.T.)!#2258=FACE_OUTER_BOUND('',#2849,.T.)!#2259=FACE_OUTER_BOUND('',#2850,.T.)!#2260=FACE_OUTER_BOUND('',#2851,.T.)!#2261=FACE_OUTER_BOUND('',#2852,.T.)!#2262=FACE_OUTER_BOUND('',#2853,.T.)!#2263=FACE_OUTER_BOUND('',#2854,.T.)!#2264=FACE_OUTER_BOUND('',#2855,.T.)!#2265=FACE_OUTER_BOUND('',#2856,.T.)!#2266=FACE_OUTER_BOUND('',#2857,.T.)!#2267=FACE_OUTER_BOUND('',#2858,.T.)!#2268=FACE_OUTER_BOUND('',#2859,.T.)!#2269=FACE_OUTER_BOUND('',#2860,.T.)!#2270=FACE_OUTER_BOUND('',#2861,.T.)!#2271=FACE_OUTER_BOUND('',#2862,.T.)!#2272=FACE_OUTER_BOUND('',#2863,.T.)!#2273=FACE_OUTER_BOUND('',#2864,.T.)!#2274=FACE_OUTER_BOUND('',#2865,.T.)!#2275=FACE_OUTER_BOUND('',#2866,.T.)!#2276=FACE_OUTER_BOUND('',#2867,.T.)!#2277=FACE_OUTER_BOUND('',#2868,.T.)!#2278=FACE_OUTER_BOUND('',#2869,.T.)!#2279=FACE_OUTER_BOUND('',#2870,.T.)!#2280=FACE_OUTER_BOUND('',#2871,.T.)!#2281=FACE_OUTER_BOUND('',#2872,.T.)!#2282=FACE_OUTER_BOUND('',#2873,.T.)!#2283=FACE_OUTER_BOUND('',#2874,.T.)!#2284=FACE_OUTER_BOUND('',#2875,.T.)!#2285=FACE_OUTER_BOUND('',#2876,.T.)!#2286=FACE_OUTER_BOUND('',#2877,.T.)!#2287=FACE_OUTER_BOUND('',#2878,.T.)!#2288=FACE_OUTER_BOUND('',#2879,.T.)!#2289=FACE_OUTER_BOUND('',#2880,.T.)!#2290=FACE_OUTER_BOUND('',#2881,.T.)!#2291=FACE_OUTER_BOUND('',#2882,.T.)!#2292=FACE_OUTER_BOUND('',#2885,.T.)!#2293=FACE_OUTER_BOUND('',#2886,.T.)!#2294=FACE_OUTER_BOUND('',#2887,.T.)!#2295=FACE_OUTER_BOUND('',#2888,.T.)!#2296=FACE_OUTER_BOUND('',#2889,.T.)!#2297=FACE_OUTER_BOUND('',#2890,.T.)!#2298=FACE_OUTER_BOUND('',#2891,.T.)!#2299=FACE_OUTER_BOUND('',#2892,.T.)!#2300=FACE_OUTER_BOUND('',#2893,.T.)!#2301=FACE_OUTER_BOUND('',#2894,.T.)!#2302=FACE_OUTER_BOUND('',#2895,.T.)!#2303=FACE_OUTER_BOUND('',#2896,.T.)!#2304=FACE_OUTER_BOUND('',#2897,.T.)!#2305=FACE_OUTER_BOUND('',#2898,.T.)!#2306=FACE_OUTER_BOUND('',#2899,.T.)!#2307=FACE_OUTER_BOUND('',#2900,.T.)!#2308=FACE_OUTER_BOUND('',#2901,.T.)!#2309=FACE_OUTER_BOUND('',#2902,.T.)!#2310=FACE_OUTER_BOUND('',#2903,.T.)!#2311=FACE_OUTER_BOUND('',#2904,.T.)!#2312=FACE_OUTER_BOUND('',#2905,.T.)!#2313=FACE_OUTER_BOUND('',#2906,.T.)!#2314=FACE_OUTER_BOUND('',#2907,.T.)!#2315=FACE_OUTER_BOUND('',#2908,.T.)!#2316=FACE_OUTER_BOUND('',#2909,.T.)!#2317=FACE_OUTER_BOUND('',#2910,.T.)!#2318=FACE_OUTER_BOUND('',#2911,.T.)!#2319=FACE_OUTER_BOUND('',#2912,.T.)!#2320=FACE_OUTER_BOUND('',#2913,.T.)!#2321=FACE_OUTER_BOUND('',#2914,.T.)!#2322=FACE_OUTER_BOUND('',#2915,.T.)!#2323=FACE_OUTER_BOUND('',#2916,.T.)!#2324=FACE_OUTER_BOUND('',#2917,.T.)!#2325=FACE_OUTER_BOUND('',#2918,.T.)!#2326=FACE_OUTER_BOUND('',#2919,.T.)!#2327=FACE_OUTER_BOUND('',#2920,.T.)!#2328=FACE_OUTER_BOUND('',#2923,.T.)!#2329=FACE_OUTER_BOUND('',#2924,.T.)!#2330=FACE_OUTER_BOUND('',#2925,.T.)!#2331=FACE_OUTER_BOUND('',#2926,.T.)!#2332=FACE_OUTER_BOUND('',#2927,.T.)!#2333=FACE_OUTER_BOUND('',#2928,.T.)!#2334=FACE_OUTER_BOUND('',#2929,.T.)!#2335=FACE_OUTER_BOUND('',#2930,.T.)!#2336=FACE_OUTER_BOUND('',#2931,.T.)!#2337=FACE_OUTER_BOUND('',#2932,.T.)!#2338=FACE_OUTER_BOUND('',#2934,.T.)!#2339=FACE_OUTER_BOUND('',#2935,.T.)!#2340=FACE_OUTER_BOUND('',#2937,.T.)!#2341=FACE_OUTER_BOUND('',#2938,.T.)!#2342=FACE_OUTER_BOUND('',#2939,.T.)!#2343=FACE_OUTER_BOUND('',#2940,.T.)!#2344=FACE_OUTER_BOUND('',#2941,.T.)!#2345=FACE_OUTER_BOUND('',#2943,.T.)!#2346=FACE_OUTER_BOUND('',#2944,.T.)!#2347=FACE_OUTER_BOUND('',#2945,.T.)!#2348=FACE_OUTER_BOUND('',#2946,.T.)!#2349=FACE_OUTER_BOUND('',#2947,.T.)!#2350=FACE_OUTER_BOUND('',#2948,.T.)!#2351=FACE_OUTER_BOUND('',#2949,.T.)!#2352=FACE_OUTER_BOUND('',#2951,.T.)!#2353=FACE_OUTER_BOUND('',#2952,.T.)!#2354=FACE_OUTER_BOUND('',#2954,.T.)!#2355=FACE_OUTER_BOUND('',#2955,.T.)!#2356=FACE_OUTER_BOUND('',#2956,.T.)!#2357=FACE_OUTER_BOUND('',#2957,.T.)!#2358=FACE_OUTER_BOUND('',#2958,.T.)!#2359=FACE_OUTER_BOUND('',#2959,.T.)!#2360=FACE_OUTER_BOUND('',#2960,.T.)!#2361=FACE_OUTER_BOUND('',#2962,.T.)!#2362=FACE_OUTER_BOUND('',#2963,.T.)!#2363=FACE_OUTER_BOUND('',#2964,.T.)!#2364=FACE_OUTER_BOUND('',#2965,.T.)!#2365=FACE_OUTER_BOUND('',#2966,.T.)!#2366=FACE_OUTER_BOUND('',#2967,.T.)!#2367=FACE_OUTER_BOUND('',#2968,.T.)!#2368=FACE_OUTER_BOUND('',#2969,.T.)!#2369=FACE_OUTER_BOUND('',#2970,.T.)!#2370=FACE_OUTER_BOUND('',#2971,.T.)!#2371=FACE_OUTER_BOUND('',#2973,.T.)!#2372=FACE_OUTER_BOUND('',#2974,.T.)!#2373=FACE_OUTER_BOUND('',#2975,.T.)!#2374=FACE_OUTER_BOUND('',#2976,.T.)!#2375=FACE_OUTER_BOUND('',#2977,.T.)!#2376=FACE_OUTER_BOUND('',#2978,.T.)!#2377=FACE_OUTER_BOUND('',#2979,.T.)!#2378=FACE_OUTER_BOUND('',#2980,.T.)!#2379=FACE_OUTER_BOUND('',#2982,.T.)!#2380=FACE_OUTER_BOUND('',#2983,.T.)!#2381=FACE_OUTER_BOUND('',#2984,.T.)!#2382=FACE_OUTER_BOUND('',#2985,.T.)!#2383=FACE_OUTER_BOUND('',#2986,.T.)!#2384=FACE_OUTER_BOUND('',#2987,.T.)!#2385=FACE_OUTER_BOUND('',#2988,.T.)!#2386=FACE_OUTER_BOUND('',#2989,.T.)!#2387=FACE_OUTER_BOUND('',#2990,.T.)!#2388=FACE_OUTER_BOUND('',#2991,.T.)!#2389=FACE_OUTER_BOUND('',#2993,.T.)!#2390=FACE_OUTER_BOUND('',#2994,.T.)!#2391=FACE_OUTER_BOUND('',#2995,.T.)!#2392=FACE_OUTER_BOUND('',#2996,.T.)!#2393=FACE_OUTER_BOUND('',#2997,.T.)!#2394=FACE_OUTER_BOUND('',#2998,.T.)!#2395=FACE_OUTER_BOUND('',#2999,.T.)!#2396=FACE_OUTER_BOUND('',#3000,.T.)!#2397=FACE_OUTER_BOUND('',#3002,.T.)!#2398=FACE_OUTER_BOUND('',#3003,.T.)!#2399=FACE_OUTER_BOUND('',#3004,.T.)!#2400=FACE_OUTER_BOUND('',#3005,.T.)!#2401=FACE_OUTER_BOUND('',#3006,.T.)!#2402=FACE_OUTER_BOUND('',#3007,.T.)!#2403=FACE_OUTER_BOUND('',#3008,.T.)!#2404=FACE_OUTER_BOUND('',#3009,.T.)!#2405=FACE_OUTER_BOUND('',#3010,.T.)!#2406=FACE_OUTER_BOUND('',#3011,.T.)!#2407=FACE_OUTER_BOUND('',#3013,.T.)!#2408=FACE_OUTER_BOUND('',#3014,.T.)!#2409=FACE_OUTER_BOUND('',#3015,.T.)!#2410=FACE_OUTER_BOUND('',#3016,.T.)!#2411=FACE_OUTER_BOUND('',#3017,.T.)!#2412=FACE_OUTER_BOUND('',#3018,.T.)!#2413=FACE_OUTER_BOUND('',#3019,.T.)!#2414=FACE_OUTER_BOUND('',#3020,.T.)!#2415=FACE_OUTER_BOUND('',#3022,.T.)!#2416=FACE_OUTER_BOUND('',#3023,.T.)!#2417=FACE_OUTER_BOUND('',#3024,.T.)!#2418=FACE_OUTER_BOUND('',#3025,.T.)!#2419=FACE_OUTER_BOUND('',#3026,.T.)!#2420=FACE_OUTER_BOUND('',#3027,.T.)!#2421=FACE_OUTER_BOUND('',#3028,.T.)!#2422=FACE_OUTER_BOUND('',#3029,.T.)!#2423=FACE_OUTER_BOUND('',#3030,.T.)!#2424=FACE_OUTER_BOUND('',#3031,.T.)!#2425=FACE_OUTER_BOUND('',#3033,.T.)!#2426=FACE_OUTER_BOUND('',#3034,.T.)!#2427=FACE_OUTER_BOUND('',#3035,.T.)!#2428=FACE_OUTER_BOUND('',#3036,.T.)!#2429=FACE_OUTER_BOUND('',#3037,.T.)!#2430=FACE_OUTER_BOUND('',#3038,.T.)!#2431=FACE_OUTER_BOUND('',#3039,.T.)!#2432=FACE_OUTER_BOUND('',#3040,.T.)!#2433=FACE_OUTER_BOUND('',#3041,.T.)!#2434=FACE_OUTER_BOUND('',#3042,.T.)!#2435=FACE_OUTER_BOUND('',#3043,.T.)!#2436=FACE_OUTER_BOUND('',#3044,.T.)!#2437=FACE_OUTER_BOUND('',#3045,.T.)!#2438=FACE_OUTER_BOUND('',#3046,.T.)!#2439=FACE_OUTER_BOUND('',#3047,.T.)!#2440=FACE_OUTER_BOUND('',#3048,.T.)!#2441=FACE_OUTER_BOUND('',#3049,.T.)!#2442=FACE_OUTER_BOUND('',#3050,.T.)!#2443=FACE_OUTER_BOUND('',#3051,.T.)!#2444=FACE_OUTER_BOUND('',#3052,.T.)!#2445=FACE_OUTER_BOUND('',#3054,.T.)!#2446=FACE_OUTER_BOUND('',#3055,.T.)!#2447=FACE_OUTER_BOUND('',#3056,.T.)!#2448=FACE_OUTER_BOUND('',#3057,.T.)!#2449=FACE_OUTER_BOUND('',#3058,.T.)!#2450=FACE_OUTER_BOUND('',#3059,.T.)!#2451=FACE_OUTER_BOUND('',#3060,.T.)!#2452=FACE_OUTER_BOUND('',#3061,.T.)!#2453=FACE_OUTER_BOUND('',#3062,.T.)!#2454=FACE_OUTER_BOUND('',#3063,.T.)!#2455=FACE_OUTER_BOUND('',#3064,.T.)!#2456=FACE_OUTER_BOUND('',#3065,.T.)!#2457=FACE_OUTER_BOUND('',#3066,.T.)!#2458=FACE_OUTER_BOUND('',#3067,.T.)!#2459=FACE_OUTER_BOUND('',#3068,.T.)!#2460=FACE_OUTER_BOUND('',#3069,.T.)!#2461=FACE_OUTER_BOUND('',#3070,.T.)!#2462=FACE_OUTER_BOUND('',#3071,.T.)!#2463=FACE_OUTER_BOUND('',#3072,.T.)!#2464=FACE_OUTER_BOUND('',#3073,.T.)!#2465=FACE_OUTER_BOUND('',#3074,.T.)!#2466=FACE_OUTER_BOUND('',#3075,.T.)!#2467=FACE_OUTER_BOUND('',#3076,.T.)!#2468=FACE_OUTER_BOUND('',#3077,.T.)!#2469=FACE_OUTER_BOUND('',#3078,.T.)!#2470=FACE_OUTER_BOUND('',#3079,.T.)!#2471=FACE_OUTER_BOUND('',#3080,.T.)!#2472=FACE_OUTER_BOUND('',#3081,.T.)!#2473=FACE_OUTER_BOUND('',#3082,.T.)!#2474=FACE_OUTER_BOUND('',#3083,.T.)!#2475=FACE_OUTER_BOUND('',#3084,.T.)!#2476=FACE_OUTER_BOUND('',#3085,.T.)!#2477=FACE_OUTER_BOUND('',#3086,.T.)!#2478=FACE_OUTER_BOUND('',#3087,.T.)!#2479=FACE_OUTER_BOUND('',#3088,.T.)!#2480=FACE_OUTER_BOUND('',#3089,.T.)!#2481=FACE_OUTER_BOUND('',#3091,.T.)!#2482=FACE_OUTER_BOUND('',#3092,.T.)!#2483=FACE_OUTER_BOUND('',#3093,.T.)!#2484=FACE_OUTER_BOUND('',#3094,.T.)!#2485=FACE_OUTER_BOUND('',#3095,.T.)!#2486=FACE_OUTER_BOUND('',#3096,.T.)!#2487=FACE_OUTER_BOUND('',#3097,.T.)!#2488=FACE_OUTER_BOUND('',#3099,.T.)!#2489=FACE_OUTER_BOUND('',#3100,.T.)!#2490=FACE_OUTER_BOUND('',#3101,.T.)!#2491=FACE_OUTER_BOUND('',#3102,.T.)!#2492=FACE_OUTER_BOUND('',#3103,.T.)!#2493=FACE_OUTER_BOUND('',#3104,.T.)!#2494=FACE_OUTER_BOUND('',#3105,.T.)!#2495=FACE_OUTER_BOUND('',#3106,.T.)!#2496=FACE_OUTER_BOUND('',#3107,.T.)!#2497=FACE_OUTER_BOUND('',#3108,.T.)!#2498=FACE_OUTER_BOUND('',#3109,.T.)!#2499=FACE_OUTER_BOUND('',#3110,.T.)!#2500=FACE_OUTER_BOUND('',#3111,.T.)!#2501=FACE_OUTER_BOUND('',#3112,.T.)!#2502=FACE_OUTER_BOUND('',#3113,.T.)!#2503=FACE_OUTER_BOUND('',#3114,.T.)!#2504=FACE_OUTER_BOUND('',#3115,.T.)!#2505=FACE_OUTER_BOUND('',#3116,.T.)!#2506=FACE_OUTER_BOUND('',#3117,.T.)!#2507=FACE_OUTER_BOUND('',#3118,.T.)!#2508=FACE_OUTER_BOUND('',#3119,.T.)!#2509=FACE_OUTER_BOUND('',#3120,.T.)!#2510=FACE_OUTER_BOUND('',#3121,.T.)!#2511=FACE_OUTER_BOUND('',#3122,.T.)!#2512=FACE_OUTER_BOUND('',#3123,.T.)!#2513=FACE_OUTER_BOUND('',#3124,.T.)!#2514=FACE_OUTER_BOUND('',#3125,.T.)!#2515=FACE_OUTER_BOUND('',#3126,.T.)!#2516=FACE_OUTER_BOUND('',#3127,.T.)!#2517=FACE_OUTER_BOUND('',#3128,.T.)!#2518=FACE_OUTER_BOUND('',#3129,.T.)!#2519=FACE_OUTER_BOUND('',#3131,.T.)!#2520=FACE_OUTER_BOUND('',#3132,.T.)!#2521=FACE_OUTER_BOUND('',#3133,.T.)!#2522=FACE_OUTER_BOUND('',#3134,.T.)!#2523=FACE_OUTER_BOUND('',#3135,.T.)!#2524=FACE_OUTER_BOUND('',#3136,.T.)!#2525=FACE_OUTER_BOUND('',#3138,.T.)!#2526=FACE_OUTER_BOUND('',#3139,.T.)!#2527=FACE_OUTER_BOUND('',#3140,.T.)!#2528=FACE_OUTER_BOUND('',#3141,.T.)!#2529=FACE_OUTER_BOUND('',#3143,.T.)!#2530=FACE_OUTER_BOUND('',#3144,.T.)!#2531=FACE_OUTER_BOUND('',#3145,.T.)!#2532=FACE_OUTER_BOUND('',#3146,.T.)!#2533=FACE_OUTER_BOUND('',#3147,.T.)!#2534=FACE_OUTER_BOUND('',#3148,.T.)!#2535=FACE_OUTER_BOUND('',#3149,.T.)!#2536=FACE_OUTER_BOUND('',#3150,.T.)!#2537=FACE_OUTER_BOUND('',#3151,.T.)!#2538=FACE_OUTER_BOUND('',#3152,.T.)!#2539=FACE_OUTER_BOUND('',#3153,.T.)!#2540=FACE_OUTER_BOUND('',#3154,.T.)!#2541=FACE_OUTER_BOUND('',#3155,.T.)!#2542=FACE_OUTER_BOUND('',#3156,.T.)!#2543=FACE_OUTER_BOUND('',#3157,.T.)!#2544=FACE_OUTER_BOUND('',#3158,.T.)!#2545=FACE_OUTER_BOUND('',#3159,.T.)!#2546=FACE_OUTER_BOUND('',#3160,.T.)!#2547=FACE_OUTER_BOUND('',#3161,.T.)!#2548=FACE_OUTER_BOUND('',#3162,.T.)!#2549=FACE_OUTER_BOUND('',#3163,.T.)!#2550=FACE_OUTER_BOUND('',#3164,.T.)!#2551=FACE_OUTER_BOUND('',#3165,.T.)!#2552=FACE_OUTER_BOUND('',#3166,.T.)!#2553=FACE_OUTER_BOUND('',#3167,.T.)!#2554=FACE_OUTER_BOUND('',#3168,.T.)!#2555=FACE_OUTER_BOUND('',#3169,.T.)!#2556=FACE_OUTER_BOUND('',#3170,.T.)!#2557=FACE_OUTER_BOUND('',#3171,.T.)!#2558=FACE_OUTER_BOUND('',#3172,.T.)!#2559=FACE_OUTER_BOUND('',#3173,.T.)!#2560=FACE_OUTER_BOUND('',#3174,.T.)!#2561=FACE_OUTER_BOUND('',#3175,.T.)!#2562=FACE_OUTER_BOUND('',#3176,.T.)!#2563=FACE_OUTER_BOUND('',#3177,.T.)!#2564=FACE_OUTER_BOUND('',#3178,.T.)!#2565=FACE_OUTER_BOUND('',#3179,.T.)!#2566=FACE_OUTER_BOUND('',#3180,.T.)!#2567=FACE_OUTER_BOUND('',#3181,.T.)!#2568=FACE_OUTER_BOUND('',#3182,.T.)!#2569=FACE_OUTER_BOUND('',#3184,.T.)!#2570=FACE_OUTER_BOUND('',#3185,.T.)!#2571=FACE_OUTER_BOUND('',#3186,.T.)!#2572=FACE_OUTER_BOUND('',#3187,.T.)!#2573=FACE_OUTER_BOUND('',#3188,.T.)!#2574=FACE_OUTER_BOUND('',#3189,.T.)!#2575=FACE_OUTER_BOUND('',#3190,.T.)!#2576=FACE_OUTER_BOUND('',#3192,.T.)!#2577=FACE_OUTER_BOUND('',#3193,.T.)!#2578=FACE_OUTER_BOUND('',#3194,.T.)!#2579=FACE_OUTER_BOUND('',#3195,.T.)!#2580=FACE_OUTER_BOUND('',#3196,.T.)!#2581=FACE_OUTER_BOUND('',#3197,.T.)!#2582=FACE_OUTER_BOUND('',#3198,.T.)!#2583=FACE_OUTER_BOUND('',#3199,.T.)!#2584=FACE_OUTER_BOUND('',#3200,.T.)!#2585=FACE_OUTER_BOUND('',#3201,.T.)!#2586=FACE_OUTER_BOUND('',#3202,.T.)!#2587=FACE_OUTER_BOUND('',#3203,.T.)!#2588=FACE_OUTER_BOUND('',#3204,.T.)!#2589=FACE_OUTER_BOUND('',#3205,.T.)!#2590=FACE_OUTER_BOUND('',#3206,.T.)!#2591=FACE_OUTER_BOUND('',#3207,.T.)!#2592=FACE_OUTER_BOUND('',#3208,.T.)!#2593=FACE_OUTER_BOUND('',#3209,.T.)!#2594=FACE_OUTER_BOUND('',#3210,.T.)!#2595=FACE_OUTER_BOUND('',#3211,.T.)!#2596=FACE_OUTER_BOUND('',#3212,.T.)!#2597=FACE_OUTER_BOUND('',#3213,.T.)!#2598=FACE_OUTER_BOUND('',#3214,.T.)!#2599=FACE_OUTER_BOUND('',#3215,.T.)!#2600=FACE_OUTER_BOUND('',#3216,.T.)!#2601=FACE_OUTER_BOUND('',#3217,.T.)!#2602=FACE_OUTER_BOUND('',#3218,.T.)!#2603=FACE_OUTER_BOUND('',#3219,.T.)!#2604=FACE_OUTER_BOUND('',#3220,.T.)!#2605=FACE_OUTER_BOUND('',#3221,.T.)!#2606=FACE_OUTER_BOUND('',#3222,.T.)!#2607=FACE_OUTER_BOUND('',#3223,.T.)!#2608=FACE_OUTER_BOUND('',#3224,.T.)!#2609=FACE_OUTER_BOUND('',#3226,.T.)!#2610=FACE_OUTER_BOUND('',#3227,.T.)!#2611=FACE_OUTER_BOUND('',#3228,.T.)!#2612=FACE_OUTER_BOUND('',#3229,.T.)!#2613=FACE_OUTER_BOUND('',#3230,.T.)!#2614=FACE_OUTER_BOUND('',#3231,.T.)!#2615=FACE_OUTER_BOUND('',#3232,.T.)!#2616=FACE_OUTER_BOUND('',#3233,.T.)!#2617=FACE_OUTER_BOUND('',#3234,.T.)!#2618=FACE_OUTER_BOUND('',#3235,.T.)!#2619=FACE_OUTER_BOUND('',#3236,.T.)!#2620=FACE_OUTER_BOUND('',#3237,.T.)!#2621=FACE_OUTER_BOUND('',#3238,.T.)!#2622=FACE_OUTER_BOUND('',#3239,.T.)!#2623=FACE_OUTER_BOUND('',#3240,.T.)!#2624=FACE_OUTER_BOUND('',#3242,.T.)!#2625=FACE_OUTER_BOUND('',#3243,.T.)!#2626=FACE_OUTER_BOUND('',#3245,.T.)!#2627=FACE_OUTER_BOUND('',#3248,.T.)!#2628=FACE_OUTER_BOUND('',#3250,.T.)!#2629=FACE_OUTER_BOUND('',#3251,.T.)!#2630=FACE_OUTER_BOUND('',#3252,.T.)!#2631=FACE_OUTER_BOUND('',#3253,.T.)!#2632=FACE_OUTER_BOUND('',#3254,.T.)!#2633=FACE_OUTER_BOUND('',#3255,.T.)!#2634=FACE_OUTER_BOUND('',#3256,.T.)!#2635=FACE_OUTER_BOUND('',#3257,.T.)!#2636=FACE_OUTER_BOUND('',#3261,.T.)!#2637=FACE_OUTER_BOUND('',#3262,.T.)!#2638=FACE_OUTER_BOUND('',#3263,.T.)!#2639=FACE_OUTER_BOUND('',#3264,.T.)!#2640=FACE_OUTER_BOUND('',#3265,.T.)!#2641=FACE_OUTER_BOUND('',#3266,.T.)!#2642=FACE_OUTER_BOUND('',#3267,.T.)!#2643=FACE_OUTER_BOUND('',#3268,.T.)!#2644=FACE_OUTER_BOUND('',#3269,.T.)!#2645=FACE_OUTER_BOUND('',#3270,.T.)!#2646=FACE_OUTER_BOUND('',#3271,.T.)!#2647=FACE_OUTER_BOUND('',#3272,.T.)!#2648=FACE_OUTER_BOUND('',#3273,.T.)!#2649=FACE_OUTER_BOUND('',#3274,.T.)!#2650=FACE_OUTER_BOUND('',#3275,.T.)!#2651=FACE_OUTER_BOUND('',#3276,.T.)!#2652=FACE_OUTER_BOUND('',#3277,.T.)!#2653=FACE_OUTER_BOUND('',#3279,.T.)!#2654=FACE_OUTER_BOUND('',#3280,.T.)!#2655=FACE_OUTER_BOUND('',#3282,.T.)!#2656=FACE_OUTER_BOUND('',#3283,.T.)!#2657=FACE_OUTER_BOUND('',#3284,.T.)!#2658=FACE_OUTER_BOUND('',#3285,.T.)!#2659=FACE_OUTER_BOUND('',#3286,.T.)!#2660=FACE_OUTER_BOUND('',#3287,.T.)!#2661=FACE_OUTER_BOUND('',#3288,.T.)!#2662=FACE_OUTER_BOUND('',#3289,.T.)!#2663=FACE_OUTER_BOUND('',#3290,.T.)!#2664=FACE_OUTER_BOUND('',#3291,.T.)!#2665=FACE_OUTER_BOUND('',#3295,.T.)!#2666=FACE_OUTER_BOUND('',#3296,.T.)!#2667=FACE_OUTER_BOUND('',#3297,.T.)!#2668=FACE_OUTER_BOUND('',#3298,.T.)!#2669=FACE_OUTER_BOUND('',#3299,.T.)!#2670=FACE_OUTER_BOUND('',#3300,.T.)!#2671=FACE_OUTER_BOUND('',#3301,.T.)!#2672=FACE_OUTER_BOUND('',#3302,.T.)!#2673=FACE_OUTER_BOUND('',#3303,.T.)!#2674=FACE_OUTER_BOUND('',#3304,.T.)!#2675=FACE_OUTER_BOUND('',#3309,.T.)!#2676=FACE_OUTER_BOUND('',#3310,.T.)!#2677=FACE_OUTER_BOUND('',#3311,.T.)!#2678=FACE_OUTER_BOUND('',#3312,.T.)!#2679=FACE_OUTER_BOUND('',#3313,.T.)!#2680=FACE_OUTER_BOUND('',#3314,.T.)!#2681=FACE_OUTER_BOUND('',#3315,.T.)!#2682=FACE_OUTER_BOUND('',#3316,.T.)!#2683=FACE_OUTER_BOUND('',#3317,.T.)!#2684=FACE_OUTER_BOUND('',#3318,.T.)!#2685=FACE_OUTER_BOUND('',#3319,.T.)!#2686=FACE_OUTER_BOUND('',#3320,.T.)!#2687=FACE_OUTER_BOUND('',#3321,.T.)!#2688=FACE_OUTER_BOUND('',#3322,.T.)!#2689=FACE_OUTER_BOUND('',#3323,.T.)!#2690=FACE_OUTER_BOUND('',#3324,.T.)!#2691=FACE_OUTER_BOUND('',#3325,.T.)!#2692=FACE_OUTER_BOUND('',#3326,.T.)!#2693=FACE_OUTER_BOUND('',#3327,.T.)!#2694=FACE_OUTER_BOUND('',#3328,.T.)!#2695=FACE_OUTER_BOUND('',#3329,.T.)!#2696=FACE_OUTER_BOUND('',#3330,.T.)!#2697=FACE_OUTER_BOUND('',#3331,.T.)!#2698=FACE_OUTER_BOUND('',#3332,.T.)!#2699=FACE_OUTER_BOUND('',#3333,.T.)!#2700=FACE_OUTER_BOUND('',#3335,.T.)!#2701=FACE_OUTER_BOUND('',#3336,.T.)!#2702=FACE_OUTER_BOUND('',#3337,.T.)!#2703=FACE_OUTER_BOUND('',#3338,.T.)!#2704=FACE_OUTER_BOUND('',#3339,.T.)!#2705=FACE_OUTER_BOUND('',#3340,.T.)!#2706=FACE_OUTER_BOUND('',#3342,.T.)!#2707=FACE_OUTER_BOUND('',#3344,.T.)!#2708=FACE_OUTER_BOUND('',#3346,.T.)!#2709=FACE_OUTER_BOUND('',#3347,.T.)!#2710=FACE_OUTER_BOUND('',#3348,.T.)!#2711=FACE_OUTER_BOUND('',#3350,.T.)!#2712=FACE_OUTER_BOUND('',#3351,.T.)!#2713=FACE_OUTER_BOUND('',#3353,.T.)!#2714=FACE_OUTER_BOUND('',#3354,.T.)!#2715=FACE_OUTER_BOUND('',#3356,.T.)!#2716=FACE_OUTER_BOUND('',#3357,.T.)!#2717=FACE_OUTER_BOUND('',#3359,.T.)!#2718=FACE_OUTER_BOUND('',#3361,.T.)!#2719=FACE_OUTER_BOUND('',#3363,.T.)!#2720=FACE_OUTER_BOUND('',#3364,.T.)!#2721=FACE_OUTER_BOUND('',#3365,.T.)!#2722=FACE_OUTER_BOUND('',#3366,.T.)!#2723=FACE_OUTER_BOUND('',#3367,.T.)!#2724=FACE_OUTER_BOUND('',#3368,.T.)!#2725=FACE_OUTER_BOUND('',#3369,.T.)!#2726=FACE_OUTER_BOUND('',#3370,.T.)!#2727=FACE_OUTER_BOUND('',#3371,.T.)!#2728=FACE_OUTER_BOUND('',#3372,.T.)!#2729=FACE_OUTER_BOUND('',#3373,.T.)!#2730=FACE_OUTER_BOUND('',#3374,.T.)!#2731=FACE_OUTER_BOUND('',#3375,.T.)!#2732=FACE_OUTER_BOUND('',#3376,.T.)!#2733=FACE_OUTER_BOUND('',#3377,.T.)!#2734=FACE_OUTER_BOUND('',#3378,.T.)!#2735=FACE_OUTER_BOUND('',#3379,.T.)!#2736=FACE_OUTER_BOUND('',#3380,.T.)!#2737=FACE_OUTER_BOUND('',#3381,.T.)!#2738=FACE_OUTER_BOUND('',#3382,.T.)!#2739=FACE_OUTER_BOUND('',#3383,.T.)!#2740=FACE_OUTER_BOUND('',#3384,.T.)!#2741=FACE_OUTER_BOUND('',#3385,.T.)!#2742=FACE_OUTER_BOUND('',#3386,.T.)!#2743=FACE_OUTER_BOUND('',#3387,.T.)!#2744=FACE_OUTER_BOUND('',#3388,.T.)!#2745=FACE_OUTER_BOUND('',#3389,.T.)!#2746=FACE_OUTER_BOUND('',#3390,.T.)!#2747=FACE_OUTER_BOUND('',#3391,.T.)!#2748=FACE_OUTER_BOUND('',#3392,.T.)!#2749=EDGE_LOOP('',(#6652,#6653,#6654))!#2750=EDGE_LOOP('',(#6655,#6656,#6657))!#2751=EDGE_LOOP('',(#6658,#6659,#6660))!#2752=EDGE_LOOP('',(#6661,#6662,#6663))!#2753=EDGE_LOOP('',(#6664,#6665,#6666))!#2754=EDGE_LOOP('',(#6667,#6668,#6669))!#2755=EDGE_LOOP('',(#6670,#6671,#6672,#6673,#6674))!#2756=EDGE_LOOP('',(#6675,#6676,#6677,#6678,#6679))!#2757=EDGE_LOOP('',(#6680,#6681,#6682,#6683,#6684))!#2758=EDGE_LOOP('',(#6685,#6686,#6687,#6688,#6689))!#2759=EDGE_LOOP('',(#6690,#6691,#6692,#6693,#6694))!#2760=EDGE_LOOP('',(#6695,#6696,#6697,#6698,#6699))!#2761=EDGE_LOOP('',(#6700,#6701,#6702,#6703,#6704,#6705))!#2762=EDGE_LOOP('',(#6706,#6707,#6708))!#2763=EDGE_LOOP('',(#6709,#6710,#6711))!#2764=EDGE_LOOP('',(#6712,#6713,#6714))!#2765=EDGE_LOOP('',(#6715,#6716,#6717))!#2766=EDGE_LOOP('',(#6718,#6719,#6720))!#2767=EDGE_LOOP('',(#6721,#6722,#6723))!#2768=EDGE_LOOP('',(#6724,#6725,#6726,#6727,#6728))!#2769=EDGE_LOOP('',(#6729,#6730,#6731,#6732,#6733))!#2770=EDGE_LOOP('',(#6734,#6735,#6736,#6737,#6738))!#2771=EDGE_LOOP('',(#6739,#6740,#6741,#6742,#6743))!#2772=EDGE_LOOP('',(#6744,#6745,#6746,#6747,#6748))!#2773=EDGE_LOOP('',(#6749,#6750,#6751,#6752,#6753))!#2774=EDGE_LOOP('',(#6754,#6755,#6756,#6757,#6758,#6759))!#2775=EDGE_LOOP('',(#6760,#6761,#6762,#6763))!#2776=EDGE_LOOP('',(#6764,#6765,#6766,#6767))!#2777=EDGE_LOOP('',(#6768,#6769,#6770,#6771))!#2778=EDGE_LOOP('',(#6772,#6773,#6774,#6775))!#2779=EDGE_LOOP('',(#6776,#6777,#6778,#6779))!#2780=EDGE_LOOP('',(#6780,#6781,#6782,#6783))!#2781=EDGE_LOOP('',(#6784,#6785,#6786,#6787))!#2782=EDGE_LOOP('',(#6788,#6789,#6790,#6791))!#2783=EDGE_LOOP('',(#6792,#6793,#6794,#6795))!#2784=EDGE_LOOP('',(#6796,#6797,#6798,#6799))!#2785=EDGE_LOOP('',(#6800,#6801,#6802,#6803))!#2786=EDGE_LOOP('',(#6804,#6805,#6806,#6807))!#2787=EDGE_LOOP('',(#6808,#6809,#6810,#6811,#6812,#6813,#6814,#6815,#6816, #6817,#6818,#6819))!#2788=EDGE_LOOP('',(#6820,#6821,#6822,#6823))!#2789=EDGE_LOOP('',(#6824,#6825,#6826,#6827))!#2790=EDGE_LOOP('',(#6828,#6829,#6830,#6831))!#2791=EDGE_LOOP('',(#6832,#6833,#6834,#6835))!#2792=EDGE_LOOP('',(#6836,#6837,#6838,#6839))!#2793=EDGE_LOOP('',(#6840,#6841,#6842,#6843))!#2794=EDGE_LOOP('',(#6844,#6845,#6846,#6847))!#2795=EDGE_LOOP('',(#6848,#6849,#6850,#6851))!#2796=EDGE_LOOP('',(#6852,#6853,#6854,#6855))!#2797=EDGE_LOOP('',(#6856,#6857,#6858,#6859))!#2798=EDGE_LOOP('',(#6860,#6861,#6862,#6863))!#2799=EDGE_LOOP('',(#6864,#6865,#6866,#6867,#6868,#6869,#6870,#6871,#6872, #6873,#6874))!#2800=EDGE_LOOP('',(#6875,#6876))!#2801=EDGE_LOOP('',(#6877,#6878,#6879,#6880))!#2802=EDGE_LOOP('',(#6881,#6882,#6883,#6884))!#2803=EDGE_LOOP('',(#6885,#6886,#6887,#6888))!#2804=EDGE_LOOP('',(#6889,#6890,#6891,#6892))!#2805=EDGE_LOOP('',(#6893,#6894,#6895,#6896))!#2806=EDGE_LOOP('',(#6897,#6898,#6899,#6900))!#2807=EDGE_LOOP('',(#6901,#6902,#6903,#6904))!#2808=EDGE_LOOP('',(#6905,#6906,#6907,#6908))!#2809=EDGE_LOOP('',(#6909,#6910,#6911,#6912))!#2810=EDGE_LOOP('',(#6913,#6914,#6915,#6916))!#2811=EDGE_LOOP('',(#6917,#6918,#6919,#6920))!#2812=EDGE_LOOP('',(#6921,#6922,#6923,#6924))!#2813=EDGE_LOOP('',(#6925,#6926,#6927,#6928))!#2814=EDGE_LOOP('',(#6929,#6930,#6931,#6932))!#2815=EDGE_LOOP('',(#6933,#6934,#6935,#6936))!#2816=EDGE_LOOP('',(#6937,#6938,#6939,#6940))!#2817=EDGE_LOOP('',(#6941,#6942,#6943,#6944))!#2818=EDGE_LOOP('',(#6945,#6946,#6947,#6948))!#2819=EDGE_LOOP('',(#6949,#6950,#6951,#6952))!#2820=EDGE_LOOP('',(#6953,#6954,#6955,#6956))!#2821=EDGE_LOOP('',(#6957,#6958,#6959,#6960))!#2822=EDGE_LOOP('',(#6961,#6962,#6963,#6964,#6965,#6966,#6967,#6968,#6969, #6970,#6971,#6972,#6973,#6974,#6975,#6976,#6977,#6978,#6979))!#2823=EDGE_LOOP('',(#6980,#6981,#6982,#6983))!#2824=EDGE_LOOP('',(#6984,#6985,#6986,#6987))!#2825=EDGE_LOOP('',(#6988,#6989,#6990,#6991))!#2826=EDGE_LOOP('',(#6992,#6993,#6994,#6995))!#2827=EDGE_LOOP('',(#6996,#6997,#6998,#6999))!#2828=EDGE_LOOP('',(#7000,#7001,#7002,#7003))!#2829=EDGE_LOOP('',(#7004,#7005,#7006,#7007))!#2830=EDGE_LOOP('',(#7008,#7009,#7010,#7011))!#2831=EDGE_LOOP('',(#7012,#7013,#7014,#7015))!#2832=EDGE_LOOP('',(#7016,#7017,#7018,#7019))!#2833=EDGE_LOOP('',(#7020,#7021,#7022,#7023))!#2834=EDGE_LOOP('',(#7024,#7025,#7026,#7027))!#2835=EDGE_LOOP('',(#7028,#7029,#7030,#7031))!#2836=EDGE_LOOP('',(#7032,#7033,#7034,#7035))!#2837=EDGE_LOOP('',(#7036,#7037,#7038,#7039))!#2838=EDGE_LOOP('',(#7040,#7041,#7042,#7043))!#2839=EDGE_LOOP('',(#7044,#7045,#7046,#7047))!#2840=EDGE_LOOP('',(#7048,#7049,#7050,#7051))!#2841=EDGE_LOOP('',(#7052,#7053,#7054,#7055))!#2842=EDGE_LOOP('',(#7056,#7057,#7058,#7059))!#2843=EDGE_LOOP('',(#7060,#7061,#7062,#7063))!#2844=EDGE_LOOP('',(#7064,#7065,#7066,#7067))!#2845=EDGE_LOOP('',(#7068,#7069,#7070,#7071))!#2846=EDGE_LOOP('',(#7072,#7073,#7074,#7075))!#2847=EDGE_LOOP('',(#7076,#7077,#7078,#7079))!#2848=EDGE_LOOP('',(#7080,#7081,#7082,#7083))!#2849=EDGE_LOOP('',(#7084,#7085,#7086,#7087))!#2850=EDGE_LOOP('',(#7088,#7089,#7090,#7091,#7092,#7093,#7094,#7095,#7096, #7097,#7098,#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107,#7108, #7109,#7110,#7111,#7112,#7113,#7114))!#2851=EDGE_LOOP('',(#7115,#7116,#7117))!#2852=EDGE_LOOP('',(#7118,#7119,#7120))!#2853=EDGE_LOOP('',(#7121,#7122,#7123))!#2854=EDGE_LOOP('',(#7124,#7125,#7126))!#2855=EDGE_LOOP('',(#7127,#7128,#7129))!#2856=EDGE_LOOP('',(#7130,#7131,#7132))!#2857=EDGE_LOOP('',(#7133,#7134,#7135,#7136,#7137,#7138))!#2858=EDGE_LOOP('',(#7139,#7140,#7141,#7142,#7143,#7144))!#2859=EDGE_LOOP('',(#7145,#7146,#7147,#7148,#7149,#7150))!#2860=EDGE_LOOP('',(#7151,#7152,#7153,#7154,#7155,#7156))!#2861=EDGE_LOOP('',(#7157,#7158,#7159,#7160))!#2862=EDGE_LOOP('',(#7161,#7162,#7163,#7164,#7165))!#2863=EDGE_LOOP('',(#7166,#7167,#7168,#7169,#7170,#7171))!#2864=EDGE_LOOP('',(#7172,#7173,#7174))!#2865=EDGE_LOOP('',(#7175,#7176,#7177))!#2866=EDGE_LOOP('',(#7178,#7179,#7180))!#2867=EDGE_LOOP('',(#7181,#7182,#7183))!#2868=EDGE_LOOP('',(#7184,#7185,#7186))!#2869=EDGE_LOOP('',(#7187,#7188,#7189))!#2870=EDGE_LOOP('',(#7190,#7191,#7192,#7193,#7194,#7195))!#2871=EDGE_LOOP('',(#7196,#7197,#7198,#7199,#7200,#7201))!#2872=EDGE_LOOP('',(#7202,#7203,#7204,#7205,#7206,#7207))!#2873=EDGE_LOOP('',(#7208,#7209,#7210,#7211,#7212,#7213))!#2874=EDGE_LOOP('',(#7214,#7215,#7216,#7217))!#2875=EDGE_LOOP('',(#7218,#7219,#7220,#7221,#7222))!#2876=EDGE_LOOP('',(#7223,#7224,#7225,#7226,#7227))!#2877=EDGE_LOOP('',(#7228,#7229,#7230,#7231))!#2878=EDGE_LOOP('',(#7232,#7233,#7234,#7235,#7236))!#2879=EDGE_LOOP('',(#7237,#7238,#7239,#7240))!#2880=EDGE_LOOP('',(#7241,#7242))!#2881=EDGE_LOOP('',(#7243,#7244,#7245,#7246,#7247,#7248,#7249,#7250,#7251, #7252,#7253,#7254,#7255,#7256))!#2882=EDGE_LOOP('',(#7257,#7258,#7259,#7260,#7261,#7262,#7263,#7264))!#2883=EDGE_LOOP('',(#7265))!#2884=EDGE_LOOP('',(#7266))!#2885=EDGE_LOOP('',(#7267,#7268,#7269,#7270))!#2886=EDGE_LOOP('',(#7271,#7272,#7273,#7274,#7275,#7276))!#2887=EDGE_LOOP('',(#7277,#7278,#7279,#7280))!#2888=EDGE_LOOP('',(#7281,#7282,#7283,#7284))!#2889=EDGE_LOOP('',(#7285,#7286,#7287))!#2890=EDGE_LOOP('',(#7288,#7289,#7290))!#2891=EDGE_LOOP('',(#7291,#7292,#7293))!#2892=EDGE_LOOP('',(#7294,#7295,#7296))!#2893=EDGE_LOOP('',(#7297,#7298,#7299))!#2894=EDGE_LOOP('',(#7300,#7301,#7302))!#2895=EDGE_LOOP('',(#7303,#7304,#7305,#7306,#7307,#7308))!#2896=EDGE_LOOP('',(#7309,#7310,#7311,#7312,#7313,#7314))!#2897=EDGE_LOOP('',(#7315,#7316,#7317,#7318,#7319,#7320))!#2898=EDGE_LOOP('',(#7321,#7322,#7323,#7324,#7325,#7326))!#2899=EDGE_LOOP('',(#7327,#7328,#7329,#7330))!#2900=EDGE_LOOP('',(#7331,#7332,#7333,#7334,#7335,#7336))!#2901=EDGE_LOOP('',(#7337,#7338,#7339,#7340,#7341))!#2902=EDGE_LOOP('',(#7342,#7343,#7344))!#2903=EDGE_LOOP('',(#7345,#7346,#7347))!#2904=EDGE_LOOP('',(#7348,#7349,#7350))!#2905=EDGE_LOOP('',(#7351,#7352,#7353))!#2906=EDGE_LOOP('',(#7354,#7355,#7356))!#2907=EDGE_LOOP('',(#7357,#7358,#7359))!#2908=EDGE_LOOP('',(#7360,#7361,#7362,#7363,#7364,#7365))!#2909=EDGE_LOOP('',(#7366,#7367,#7368,#7369,#7370,#7371))!#2910=EDGE_LOOP('',(#7372,#7373,#7374,#7375,#7376,#7377))!#2911=EDGE_LOOP('',(#7378,#7379,#7380,#7381,#7382,#7383))!#2912=EDGE_LOOP('',(#7384,#7385,#7386,#7387))!#2913=EDGE_LOOP('',(#7388,#7389,#7390,#7391,#7392))!#2914=EDGE_LOOP('',(#7393,#7394,#7395,#7396,#7397))!#2915=EDGE_LOOP('',(#7398,#7399,#7400,#7401))!#2916=EDGE_LOOP('',(#7402,#7403,#7404,#7405,#7406))!#2917=EDGE_LOOP('',(#7407,#7408,#7409,#7410))!#2918=EDGE_LOOP('',(#7411,#7412))!#2919=EDGE_LOOP('',(#7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420,#7421, #7422,#7423,#7424,#7425,#7426))!#2920=EDGE_LOOP('',(#7427,#7428,#7429,#7430,#7431,#7432,#7433,#7434))!#2921=EDGE_LOOP('',(#7435))!#2922=EDGE_LOOP('',(#7436))!#2923=EDGE_LOOP('',(#7437,#7438,#7439,#7440))!#2924=EDGE_LOOP('',(#7441,#7442,#7443,#7444,#7445,#7446))!#2925=EDGE_LOOP('',(#7447,#7448,#7449,#7450))!#2926=EDGE_LOOP('',(#7451,#7452))!#2927=EDGE_LOOP('',(#7453,#7454,#7455,#7456,#7457,#7458))!#2928=EDGE_LOOP('',(#7459,#7460,#7461,#7462,#7463,#7464))!#2929=EDGE_LOOP('',(#7465,#7466,#7467,#7468,#7469,#7470))!#2930=EDGE_LOOP('',(#7471,#7472,#7473,#7474,#7475,#7476))!#2931=EDGE_LOOP('',(#7477,#7478,#7479,#7480,#7481,#7482,#7483))!#2932=EDGE_LOOP('',(#7484,#7485))!#2933=EDGE_LOOP('',(#7486,#7487))!#2934=EDGE_LOOP('',(#7488,#7489,#7490,#7491,#7492,#7493))!#2935=EDGE_LOOP('',(#7494,#7495))!#2936=EDGE_LOOP('',(#7496,#7497))!#2937=EDGE_LOOP('',(#7498,#7499,#7500,#7501,#7502,#7503,#7504))!#2938=EDGE_LOOP('',(#7505,#7506,#7507,#7508,#7509,#7510,#7511,#7512))!#2939=EDGE_LOOP('',(#7513,#7514,#7515,#7516,#7517,#7518))!#2940=EDGE_LOOP('',(#7519,#7520,#7521,#7522,#7523,#7524))!#2941=EDGE_LOOP('',(#7525,#7526))!#2942=EDGE_LOOP('',(#7527,#7528))!#2943=EDGE_LOOP('',(#7529,#7530))!#2944=EDGE_LOOP('',(#7531,#7532,#7533,#7534,#7535,#7536))!#2945=EDGE_LOOP('',(#7537,#7538,#7539,#7540,#7541,#7542))!#2946=EDGE_LOOP('',(#7543,#7544,#7545,#7546,#7547,#7548))!#2947=EDGE_LOOP('',(#7549,#7550,#7551,#7552,#7553,#7554))!#2948=EDGE_LOOP('',(#7555,#7556,#7557,#7558,#7559,#7560,#7561))!#2949=EDGE_LOOP('',(#7562,#7563))!#2950=EDGE_LOOP('',(#7564,#7565))!#2951=EDGE_LOOP('',(#7566,#7567,#7568,#7569,#7570,#7571))!#2952=EDGE_LOOP('',(#7572,#7573))!#2953=EDGE_LOOP('',(#7574,#7575))!#2954=EDGE_LOOP('',(#7576,#7577,#7578,#7579,#7580,#7581,#7582))!#2955=EDGE_LOOP('',(#7583,#7584,#7585,#7586,#7587,#7588,#7589,#7590))!#2956=EDGE_LOOP('',(#7591,#7592,#7593,#7594,#7595,#7596))!#2957=EDGE_LOOP('',(#7597,#7598,#7599,#7600,#7601,#7602))!#2958=EDGE_LOOP('',(#7603,#7604,#7605,#7606,#7607,#7608))!#2959=EDGE_LOOP('',(#7609,#7610,#7611,#7612,#7613,#7614,#7615))!#2960=EDGE_LOOP('',(#7616,#7617))!#2961=EDGE_LOOP('',(#7618,#7619))!#2962=EDGE_LOOP('',(#7620,#7621,#7622))!#2963=EDGE_LOOP('',(#7623,#7624,#7625))!#2964=EDGE_LOOP('',(#7626,#7627,#7628))!#2965=EDGE_LOOP('',(#7629,#7630,#7631))!#2966=EDGE_LOOP('',(#7632,#7633,#7634))!#2967=EDGE_LOOP('',(#7635,#7636,#7637))!#2968=EDGE_LOOP('',(#7638,#7639,#7640,#7641,#7642))!#2969=EDGE_LOOP('',(#7643,#7644,#7645,#7646,#7647))!#2970=EDGE_LOOP('',(#7648,#7649,#7650,#7651,#7652))!#2971=EDGE_LOOP('',(#7653,#7654,#7655,#7656,#7657,#7658))!#2972=EDGE_LOOP('',(#7659,#7660))!#2973=EDGE_LOOP('',(#7661,#7662,#7663,#7664,#7665))!#2974=EDGE_LOOP('',(#7666,#7667,#7668,#7669,#7670))!#2975=EDGE_LOOP('',(#7671,#7672,#7673,#7674,#7675))!#2976=EDGE_LOOP('',(#7676,#7677,#7678,#7679,#7680,#7681))!#2977=EDGE_LOOP('',(#7682,#7683,#7684,#7685,#7686,#7687))!#2978=EDGE_LOOP('',(#7688,#7689,#7690,#7691,#7692,#7693))!#2979=EDGE_LOOP('',(#7694,#7695,#7696,#7697,#7698,#7699,#7700))!#2980=EDGE_LOOP('',(#7701,#7702))!#2981=EDGE_LOOP('',(#7703,#7704))!#2982=EDGE_LOOP('',(#7705,#7706,#7707))!#2983=EDGE_LOOP('',(#7708,#7709,#7710))!#2984=EDGE_LOOP('',(#7711,#7712,#7713))!#2985=EDGE_LOOP('',(#7714,#7715,#7716))!#2986=EDGE_LOOP('',(#7717,#7718,#7719))!#2987=EDGE_LOOP('',(#7720,#7721,#7722))!#2988=EDGE_LOOP('',(#7723,#7724,#7725,#7726,#7727))!#2989=EDGE_LOOP('',(#7728,#7729,#7730,#7731,#7732))!#2990=EDGE_LOOP('',(#7733,#7734,#7735,#7736,#7737))!#2991=EDGE_LOOP('',(#7738,#7739,#7740,#7741,#7742,#7743))!#2992=EDGE_LOOP('',(#7744,#7745))!#2993=EDGE_LOOP('',(#7746,#7747,#7748,#7749,#7750))!#2994=EDGE_LOOP('',(#7751,#7752,#7753,#7754,#7755))!#2995=EDGE_LOOP('',(#7756,#7757,#7758,#7759,#7760))!#2996=EDGE_LOOP('',(#7761,#7762,#7763,#7764,#7765,#7766))!#2997=EDGE_LOOP('',(#7767,#7768,#7769,#7770,#7771,#7772))!#2998=EDGE_LOOP('',(#7773,#7774,#7775,#7776,#7777,#7778))!#2999=EDGE_LOOP('',(#7779,#7780,#7781,#7782,#7783,#7784,#7785))!#3000=EDGE_LOOP('',(#7786,#7787))!#3001=EDGE_LOOP('',(#7788,#7789))!#3002=EDGE_LOOP('',(#7790,#7791,#7792))!#3003=EDGE_LOOP('',(#7793,#7794,#7795))!#3004=EDGE_LOOP('',(#7796,#7797,#7798))!#3005=EDGE_LOOP('',(#7799,#7800,#7801))!#3006=EDGE_LOOP('',(#7802,#7803,#7804))!#3007=EDGE_LOOP('',(#7805,#7806,#7807))!#3008=EDGE_LOOP('',(#7808,#7809,#7810,#7811,#7812))!#3009=EDGE_LOOP('',(#7813,#7814,#7815,#7816,#7817))!#3010=EDGE_LOOP('',(#7818,#7819,#7820,#7821,#7822))!#3011=EDGE_LOOP('',(#7823,#7824,#7825,#7826,#7827,#7828))!#3012=EDGE_LOOP('',(#7829,#7830))!#3013=EDGE_LOOP('',(#7831,#7832,#7833,#7834,#7835))!#3014=EDGE_LOOP('',(#7836,#7837,#7838,#7839,#7840))!#3015=EDGE_LOOP('',(#7841,#7842,#7843,#7844,#7845))!#3016=EDGE_LOOP('',(#7846,#7847,#7848,#7849,#7850,#7851))!#3017=EDGE_LOOP('',(#7852,#7853,#7854,#7855,#7856,#7857))!#3018=EDGE_LOOP('',(#7858,#7859,#7860,#7861,#7862,#7863))!#3019=EDGE_LOOP('',(#7864,#7865,#7866,#7867,#7868,#7869,#7870))!#3020=EDGE_LOOP('',(#7871,#7872))!#3021=EDGE_LOOP('',(#7873,#7874))!#3022=EDGE_LOOP('',(#7875,#7876,#7877))!#3023=EDGE_LOOP('',(#7878,#7879,#7880))!#3024=EDGE_LOOP('',(#7881,#7882,#7883))!#3025=EDGE_LOOP('',(#7884,#7885,#7886))!#3026=EDGE_LOOP('',(#7887,#7888,#7889))!#3027=EDGE_LOOP('',(#7890,#7891,#7892))!#3028=EDGE_LOOP('',(#7893,#7894,#7895,#7896,#7897))!#3029=EDGE_LOOP('',(#7898,#7899,#7900,#7901,#7902))!#3030=EDGE_LOOP('',(#7903,#7904,#7905,#7906,#7907))!#3031=EDGE_LOOP('',(#7908,#7909,#7910,#7911,#7912,#7913))!#3032=EDGE_LOOP('',(#7914,#7915))!#3033=EDGE_LOOP('',(#7916,#7917,#7918,#7919,#7920))!#3034=EDGE_LOOP('',(#7921,#7922,#7923,#7924,#7925))!#3035=EDGE_LOOP('',(#7926,#7927,#7928,#7929,#7930))!#3036=EDGE_LOOP('',(#7931,#7932,#7933,#7934,#7935,#7936))!#3037=EDGE_LOOP('',(#7937,#7938,#7939,#7940,#7941,#7942))!#3038=EDGE_LOOP('',(#7943,#7944,#7945))!#3039=EDGE_LOOP('',(#7946,#7947,#7948))!#3040=EDGE_LOOP('',(#7949,#7950,#7951))!#3041=EDGE_LOOP('',(#7952,#7953,#7954))!#3042=EDGE_LOOP('',(#7955,#7956,#7957))!#3043=EDGE_LOOP('',(#7958,#7959,#7960))!#3044=EDGE_LOOP('',(#7961,#7962,#7963,#7964,#7965))!#3045=EDGE_LOOP('',(#7966,#7967,#7968,#7969,#7970))!#3046=EDGE_LOOP('',(#7971,#7972,#7973,#7974,#7975))!#3047=EDGE_LOOP('',(#7976,#7977,#7978,#7979,#7980))!#3048=EDGE_LOOP('',(#7981,#7982,#7983,#7984,#7985))!#3049=EDGE_LOOP('',(#7986,#7987,#7988,#7989,#7990))!#3050=EDGE_LOOP('',(#7991,#7992,#7993,#7994,#7995,#7996))!#3051=EDGE_LOOP('',(#7997,#7998,#7999))!#3052=EDGE_LOOP('',(#8000,#8001,#8002,#8003,#8004,#8005))!#3053=EDGE_LOOP('',(#8006))!#3054=EDGE_LOOP('',(#8007,#8008,#8009))!#3055=EDGE_LOOP('',(#8010,#8011,#8012,#8013))!#3056=EDGE_LOOP('',(#8014,#8015,#8016,#8017,#8018))!#3057=EDGE_LOOP('',(#8019,#8020,#8021,#8022))!#3058=EDGE_LOOP('',(#8023,#8024,#8025,#8026))!#3059=EDGE_LOOP('',(#8027,#8028,#8029,#8030))!#3060=EDGE_LOOP('',(#8031,#8032,#8033,#8034))!#3061=EDGE_LOOP('',(#8035,#8036,#8037,#8038))!#3062=EDGE_LOOP('',(#8039,#8040,#8041,#8042))!#3063=EDGE_LOOP('',(#8043,#8044,#8045,#8046))!#3064=EDGE_LOOP('',(#8047,#8048,#8049,#8050,#8051,#8052))!#3065=EDGE_LOOP('',(#8053,#8054,#8055,#8056,#8057,#8058))!#3066=EDGE_LOOP('',(#8059,#8060,#8061,#8062,#8063,#8064))!#3067=EDGE_LOOP('',(#8065,#8066,#8067,#8068,#8069,#8070))!#3068=EDGE_LOOP('',(#8071,#8072,#8073,#8074,#8075,#8076))!#3069=EDGE_LOOP('',(#8077,#8078,#8079,#8080))!#3070=EDGE_LOOP('',(#8081,#8082,#8083,#8084))!#3071=EDGE_LOOP('',(#8085,#8086,#8087,#8088))!#3072=EDGE_LOOP('',(#8089,#8090,#8091))!#3073=EDGE_LOOP('',(#8092,#8093,#8094))!#3074=EDGE_LOOP('',(#8095,#8096,#8097,#8098))!#3075=EDGE_LOOP('',(#8099,#8100,#8101,#8102))!#3076=EDGE_LOOP('',(#8103,#8104,#8105,#8106))!#3077=EDGE_LOOP('',(#8107,#8108,#8109,#8110,#8111))!#3078=EDGE_LOOP('',(#8112,#8113,#8114,#8115,#8116))!#3079=EDGE_LOOP('',(#8117,#8118,#8119,#8120,#8121,#8122,#8123,#8124))!#3080=EDGE_LOOP('',(#8125,#8126,#8127,#8128))!#3081=EDGE_LOOP('',(#8129,#8130,#8131,#8132,#8133,#8134,#8135,#8136))!#3082=EDGE_LOOP('',(#8137,#8138,#8139,#8140))!#3083=EDGE_LOOP('',(#8141,#8142,#8143,#8144,#8145,#8146,#8147,#8148))!#3084=EDGE_LOOP('',(#8149,#8150,#8151,#8152,#8153,#8154))!#3085=EDGE_LOOP('',(#8155,#8156,#8157,#8158,#8159,#8160,#8161,#8162))!#3086=EDGE_LOOP('',(#8163,#8164,#8165,#8166))!#3087=EDGE_LOOP('',(#8167,#8168,#8169,#8170))!#3088=EDGE_LOOP('',(#8171,#8172,#8173,#8174))!#3089=EDGE_LOOP('',(#8175,#8176,#8177,#8178))!#3090=EDGE_LOOP('',(#8179,#8180))!#3091=EDGE_LOOP('',(#8181,#8182,#8183,#8184))!#3092=EDGE_LOOP('',(#8185,#8186,#8187,#8188,#8189,#8190,#8191,#8192))!#3093=EDGE_LOOP('',(#8193,#8194,#8195,#8196))!#3094=EDGE_LOOP('',(#8197,#8198,#8199,#8200))!#3095=EDGE_LOOP('',(#8201,#8202,#8203,#8204,#8205,#8206,#8207,#8208))!#3096=EDGE_LOOP('',(#8209,#8210,#8211,#8212,#8213))!#3097=EDGE_LOOP('',(#8214,#8215,#8216,#8217,#8218))!#3098=EDGE_LOOP('',(#8219,#8220,#8221,#8222,#8223,#8224))!#3099=EDGE_LOOP('',(#8225,#8226,#8227,#8228,#8229))!#3100=EDGE_LOOP('',(#8230,#8231,#8232,#8233))!#3101=EDGE_LOOP('',(#8234,#8235,#8236,#8237))!#3102=EDGE_LOOP('',(#8238,#8239,#8240,#8241,#8242))!#3103=EDGE_LOOP('',(#8243,#8244,#8245,#8246,#8247,#8248,#8249,#8250))!#3104=EDGE_LOOP('',(#8251,#8252,#8253,#8254))!#3105=EDGE_LOOP('',(#8255,#8256,#8257,#8258))!#3106=EDGE_LOOP('',(#8259,#8260,#8261,#8262))!#3107=EDGE_LOOP('',(#8263,#8264,#8265,#8266,#8267))!#3108=EDGE_LOOP('',(#8268,#8269,#8270,#8271,#8272,#8273,#8274,#8275))!#3109=EDGE_LOOP('',(#8276,#8277,#8278,#8279,#8280,#8281,#8282,#8283))!#3110=EDGE_LOOP('',(#8284,#8285,#8286,#8287,#8288,#8289,#8290))!#3111=EDGE_LOOP('',(#8291,#8292,#8293,#8294))!#3112=EDGE_LOOP('',(#8295,#8296,#8297,#8298,#8299))!#3113=EDGE_LOOP('',(#8300,#8301,#8302,#8303,#8304,#8305,#8306))!#3114=EDGE_LOOP('',(#8307,#8308,#8309,#8310,#8311,#8312,#8313,#8314,#8315, #8316))!#3115=EDGE_LOOP('',(#8317,#8318,#8319,#8320))!#3116=EDGE_LOOP('',(#8321,#8322,#8323,#8324,#8325,#8326,#8327,#8328))!#3117=EDGE_LOOP('',(#8329,#8330,#8331,#8332))!#3118=EDGE_LOOP('',(#8333,#8334,#8335,#8336))!#3119=EDGE_LOOP('',(#8337,#8338,#8339,#8340,#8341,#8342))!#3120=EDGE_LOOP('',(#8343,#8344,#8345,#8346,#8347,#8348))!#3121=EDGE_LOOP('',(#8349,#8350,#8351,#8352,#8353,#8354,#8355,#8356,#8357, #8358,#8359,#8360,#8361))!#3122=EDGE_LOOP('',(#8362,#8363,#8364,#8365,#8366,#8367,#8368,#8369,#8370, #8371,#8372,#8373))!#3123=EDGE_LOOP('',(#8374,#8375,#8376,#8377,#8378,#8379,#8380,#8381))!#3124=EDGE_LOOP('',(#8382,#8383,#8384,#8385,#8386,#8387))!#3125=EDGE_LOOP('',(#8388,#8389,#8390))!#3126=EDGE_LOOP('',(#8391,#8392,#8393,#8394,#8395,#8396,#8397))!#3127=EDGE_LOOP('',(#8398,#8399,#8400))!#3128=EDGE_LOOP('',(#8401,#8402,#8403,#8404,#8405,#8406,#8407,#8408,#8409))!#3129=EDGE_LOOP('',(#8410,#8411))!#3130=EDGE_LOOP('',(#8412,#8413))!#3131=EDGE_LOOP('',(#8414,#8415,#8416,#8417,#8418,#8419))!#3132=EDGE_LOOP('',(#8420,#8421,#8422,#8423,#8424,#8425,#8426,#8427,#8428))!#3133=EDGE_LOOP('',(#8429,#8430))!#3134=EDGE_LOOP('',(#8431,#8432,#8433,#8434,#8435,#8436,#8437))!#3135=EDGE_LOOP('',(#8438,#8439,#8440,#8441,#8442,#8443,#8444))!#3136=EDGE_LOOP('',(#8445,#8446))!#3137=EDGE_LOOP('',(#8447,#8448))!#3138=EDGE_LOOP('',(#8449,#8450,#8451,#8452,#8453,#8454,#8455))!#3139=EDGE_LOOP('',(#8456,#8457,#8458,#8459,#8460,#8461,#8462,#8463))!#3140=EDGE_LOOP('',(#8464,#8465,#8466,#8467,#8468,#8469,#8470))!#3141=EDGE_LOOP('',(#8471,#8472))!#3142=EDGE_LOOP('',(#8473,#8474))!#3143=EDGE_LOOP('',(#8475,#8476,#8477,#8478,#8479,#8480,#8481,#8482,#8483, #8484,#8485,#8486,#8487))!#3144=EDGE_LOOP('',(#8488,#8489,#8490,#8491,#8492,#8493,#8494,#8495,#8496, #8497,#8498,#8499,#8500,#8501))!#3145=EDGE_LOOP('',(#8502,#8503,#8504,#8505,#8506,#8507))!#3146=EDGE_LOOP('',(#8508,#8509,#8510,#8511,#8512,#8513,#8514,#8515))!#3147=EDGE_LOOP('',(#8516,#8517,#8518,#8519,#8520,#8521,#8522))!#3148=EDGE_LOOP('',(#8523,#8524,#8525,#8526,#8527,#8528,#8529,#8530))!#3149=EDGE_LOOP('',(#8531,#8532,#8533,#8534,#8535,#8536,#8537,#8538))!#3150=EDGE_LOOP('',(#8539,#8540,#8541,#8542,#8543,#8544,#8545,#8546))!#3151=EDGE_LOOP('',(#8547,#8548,#8549,#8550))!#3152=EDGE_LOOP('',(#8551,#8552,#8553,#8554))!#3153=EDGE_LOOP('',(#8555,#8556,#8557,#8558))!#3154=EDGE_LOOP('',(#8559,#8560,#8561,#8562))!#3155=EDGE_LOOP('',(#8563,#8564,#8565,#8566))!#3156=EDGE_LOOP('',(#8567,#8568,#8569,#8570))!#3157=EDGE_LOOP('',(#8571,#8572,#8573,#8574,#8575,#8576,#8577,#8578,#8579, #8580,#8581,#8582,#8583,#8584))!#3158=EDGE_LOOP('',(#8585,#8586,#8587,#8588,#8589,#8590))!#3159=EDGE_LOOP('',(#8591,#8592,#8593,#8594,#8595,#8596))!#3160=EDGE_LOOP('',(#8597,#8598,#8599,#8600))!#3161=EDGE_LOOP('',(#8601,#8602,#8603,#8604))!#3162=EDGE_LOOP('',(#8605,#8606,#8607,#8608,#8609,#8610,#8611,#8612,#8613, #8614,#8615,#8616))!#3163=EDGE_LOOP('',(#8617,#8618,#8619,#8620,#8621,#8622,#8623,#8624,#8625, #8626,#8627,#8628,#8629,#8630))!#3164=EDGE_LOOP('',(#8631,#8632,#8633,#8634))!#3165=EDGE_LOOP('',(#8635,#8636,#8637,#8638))!#3166=EDGE_LOOP('',(#8639,#8640,#8641,#8642,#8643,#8644,#8645))!#3167=EDGE_LOOP('',(#8646,#8647,#8648,#8649))!#3168=EDGE_LOOP('',(#8650,#8651,#8652,#8653))!#3169=EDGE_LOOP('',(#8654,#8655,#8656,#8657,#8658,#8659,#8660))!#3170=EDGE_LOOP('',(#8661,#8662,#8663,#8664,#8665,#8666,#8667,#8668,#8669, #8670,#8671,#8672))!#3171=EDGE_LOOP('',(#8673,#8674,#8675,#8676,#8677))!#3172=EDGE_LOOP('',(#8678,#8679,#8680,#8681,#8682))!#3173=EDGE_LOOP('',(#8683,#8684,#8685,#8686,#8687,#8688,#8689,#8690,#8691, #8692,#8693,#8694))!#3174=EDGE_LOOP('',(#8695,#8696,#8697,#8698))!#3175=EDGE_LOOP('',(#8699,#8700,#8701,#8702))!#3176=EDGE_LOOP('',(#8703,#8704,#8705,#8706))!#3177=EDGE_LOOP('',(#8707,#8708,#8709,#8710,#8711,#8712,#8713,#8714))!#3178=EDGE_LOOP('',(#8715,#8716,#8717,#8718,#8719))!#3179=EDGE_LOOP('',(#8720,#8721,#8722,#8723))!#3180=EDGE_LOOP('',(#8724,#8725,#8726,#8727))!#3181=EDGE_LOOP('',(#8728,#8729,#8730,#8731,#8732))!#3182=EDGE_LOOP('',(#8733,#8734,#8735,#8736,#8737))!#3183=EDGE_LOOP('',(#8738,#8739,#8740,#8741,#8742,#8743))!#3184=EDGE_LOOP('',(#8744,#8745,#8746,#8747,#8748))!#3185=EDGE_LOOP('',(#8749,#8750,#8751,#8752,#8753,#8754,#8755,#8756))!#3186=EDGE_LOOP('',(#8757,#8758,#8759,#8760))!#3187=EDGE_LOOP('',(#8761,#8762,#8763,#8764))!#3188=EDGE_LOOP('',(#8765,#8766,#8767,#8768,#8769,#8770,#8771,#8772))!#3189=EDGE_LOOP('',(#8773,#8774,#8775,#8776))!#3190=EDGE_LOOP('',(#8777,#8778,#8779,#8780))!#3191=EDGE_LOOP('',(#8781,#8782))!#3192=EDGE_LOOP('',(#8783,#8784,#8785,#8786))!#3193=EDGE_LOOP('',(#8787,#8788,#8789,#8790))!#3194=EDGE_LOOP('',(#8791,#8792,#8793,#8794))!#3195=EDGE_LOOP('',(#8795,#8796,#8797,#8798,#8799,#8800))!#3196=EDGE_LOOP('',(#8801,#8802,#8803,#8804,#8805,#8806))!#3197=EDGE_LOOP('',(#8807,#8808,#8809,#8810,#8811,#8812,#8813,#8814))!#3198=EDGE_LOOP('',(#8815,#8816,#8817,#8818))!#3199=EDGE_LOOP('',(#8819,#8820,#8821,#8822,#8823,#8824))!#3200=EDGE_LOOP('',(#8825,#8826,#8827,#8828))!#3201=EDGE_LOOP('',(#8829,#8830,#8831,#8832,#8833,#8834,#8835,#8836))!#3202=EDGE_LOOP('',(#8837,#8838,#8839,#8840,#8841))!#3203=EDGE_LOOP('',(#8842,#8843,#8844,#8845,#8846))!#3204=EDGE_LOOP('',(#8847,#8848,#8849,#8850))!#3205=EDGE_LOOP('',(#8851,#8852,#8853,#8854))!#3206=EDGE_LOOP('',(#8855,#8856,#8857,#8858))!#3207=EDGE_LOOP('',(#8859,#8860,#8861,#8862,#8863,#8864,#8865))!#3208=EDGE_LOOP('',(#8866,#8867,#8868,#8869,#8870,#8871,#8872))!#3209=EDGE_LOOP('',(#8873,#8874,#8875,#8876,#8877))!#3210=EDGE_LOOP('',(#8878,#8879,#8880,#8881))!#3211=EDGE_LOOP('',(#8882,#8883,#8884,#8885,#8886))!#3212=EDGE_LOOP('',(#8887,#8888,#8889))!#3213=EDGE_LOOP('',(#8890,#8891,#8892,#8893,#8894,#8895))!#3214=EDGE_LOOP('',(#8896,#8897,#8898,#8899,#8900))!#3215=EDGE_LOOP('',(#8901,#8902,#8903,#8904))!#3216=EDGE_LOOP('',(#8905,#8906,#8907,#8908,#8909))!#3217=EDGE_LOOP('',(#8910,#8911,#8912,#8913))!#3218=EDGE_LOOP('',(#8914,#8915,#8916,#8917))!#3219=EDGE_LOOP('',(#8918,#8919,#8920,#8921))!#3220=EDGE_LOOP('',(#8922,#8923,#8924,#8925))!#3221=EDGE_LOOP('',(#8926,#8927,#8928,#8929))!#3222=EDGE_LOOP('',(#8930,#8931,#8932,#8933))!#3223=EDGE_LOOP('',(#8934,#8935,#8936))!#3224=EDGE_LOOP('',(#8937,#8938,#8939,#8940,#8941))!#3225=EDGE_LOOP('',(#8942))!#3226=EDGE_LOOP('',(#8943,#8944,#8945,#8946,#8947,#8948))!#3227=EDGE_LOOP('',(#8949,#8950,#8951,#8952,#8953,#8954))!#3228=EDGE_LOOP('',(#8955,#8956,#8957,#8958,#8959))!#3229=EDGE_LOOP('',(#8960,#8961,#8962,#8963,#8964))!#3230=EDGE_LOOP('',(#8965,#8966,#8967,#8968,#8969))!#3231=EDGE_LOOP('',(#8970,#8971,#8972,#8973,#8974))!#3232=EDGE_LOOP('',(#8975,#8976,#8977,#8978,#8979))!#3233=EDGE_LOOP('',(#8980,#8981,#8982,#8983,#8984))!#3234=EDGE_LOOP('',(#8985,#8986,#8987))!#3235=EDGE_LOOP('',(#8988,#8989,#8990))!#3236=EDGE_LOOP('',(#8991,#8992,#8993))!#3237=EDGE_LOOP('',(#8994,#8995,#8996))!#3238=EDGE_LOOP('',(#8997,#8998,#8999))!#3239=EDGE_LOOP('',(#9000,#9001,#9002))!#3240=EDGE_LOOP('',(#9003))!#3241=EDGE_LOOP('',(#9004))!#3242=EDGE_LOOP('',(#9005,#9006,#9007,#9008,#9009,#9010))!#3243=EDGE_LOOP('',(#9011,#9012))!#3244=EDGE_LOOP('',(#9013,#9014))!#3245=EDGE_LOOP('',(#9015,#9016,#9017,#9018,#9019,#9020,#9021,#9022,#9023, #9024,#9025,#9026,#9027,#9028))!#3246=EDGE_LOOP('',(#9029,#9030))!#3247=EDGE_LOOP('',(#9031,#9032,#9033,#9034))!#3248=EDGE_LOOP('',(#9035,#9036))!#3249=EDGE_LOOP('',(#9037,#9038))!#3250=EDGE_LOOP('',(#9039,#9040,#9041,#9042))!#3251=EDGE_LOOP('',(#9043,#9044,#9045,#9046))!#3252=EDGE_LOOP('',(#9047,#9048,#9049,#9050))!#3253=EDGE_LOOP('',(#9051,#9052,#9053,#9054))!#3254=EDGE_LOOP('',(#9055,#9056,#9057,#9058))!#3255=EDGE_LOOP('',(#9059,#9060,#9061,#9062))!#3256=EDGE_LOOP('',(#9063,#9064,#9065,#9066))!#3257=EDGE_LOOP('',(#9067,#9068,#9069,#9070,#9071,#9072))!#3258=EDGE_LOOP('',(#9073,#9074,#9075,#9076,#9077,#9078))!#3259=EDGE_LOOP('',(#9079,#9080,#9081,#9082,#9083,#9084))!#3260=EDGE_LOOP('',(#9085))!#3261=EDGE_LOOP('',(#9086,#9087,#9088,#9089))!#3262=EDGE_LOOP('',(#9090,#9091,#9092,#9093))!#3263=EDGE_LOOP('',(#9094,#9095,#9096,#9097))!#3264=EDGE_LOOP('',(#9098,#9099,#9100,#9101))!#3265=EDGE_LOOP('',(#9102,#9103,#9104,#9105))!#3266=EDGE_LOOP('',(#9106,#9107,#9108,#9109))!#3267=EDGE_LOOP('',(#9110,#9111,#9112,#9113))!#3268=EDGE_LOOP('',(#9114,#9115,#9116,#9117))!#3269=EDGE_LOOP('',(#9118,#9119,#9120,#9121))!#3270=EDGE_LOOP('',(#9122,#9123,#9124,#9125,#9126,#9127,#9128,#9129,#9130, #9131,#9132,#9133,#9134))!#3271=EDGE_LOOP('',(#9135,#9136,#9137,#9138))!#3272=EDGE_LOOP('',(#9139,#9140,#9141,#9142,#9143,#9144))!#3273=EDGE_LOOP('',(#9145,#9146,#9147,#9148,#9149,#9150,#9151,#9152))!#3274=EDGE_LOOP('',(#9153,#9154,#9155,#9156))!#3275=EDGE_LOOP('',(#9157,#9158,#9159,#9160,#9161,#9162))!#3276=EDGE_LOOP('',(#9163,#9164,#9165,#9166))!#3277=EDGE_LOOP('',(#9167,#9168))!#3278=EDGE_LOOP('',(#9169,#9170))!#3279=EDGE_LOOP('',(#9171,#9172,#9173,#9174,#9175,#9176))!#3280=EDGE_LOOP('',(#9177,#9178))!#3281=EDGE_LOOP('',(#9179,#9180))!#3282=EDGE_LOOP('',(#9181,#9182,#9183,#9184,#9185,#9186,#9187))!#3283=EDGE_LOOP('',(#9188,#9189,#9190,#9191,#9192,#9193))!#3284=EDGE_LOOP('',(#9194,#9195,#9196,#9197,#9198,#9199,#9200,#9201,#9202))!#3285=EDGE_LOOP('',(#9203,#9204,#9205,#9206,#9207))!#3286=EDGE_LOOP('',(#9208,#9209,#9210,#9211,#9212))!#3287=EDGE_LOOP('',(#9213,#9214,#9215,#9216,#9217,#9218,#9219))!#3288=EDGE_LOOP('',(#9220,#9221))!#3289=EDGE_LOOP('',(#9222,#9223,#9224,#9225,#9226,#9227,#9228))!#3290=EDGE_LOOP('',(#9229,#9230,#9231,#9232))!#3291=EDGE_LOOP('',(#9233,#9234,#9235,#9236,#9237,#9238))!#3292=EDGE_LOOP('',(#9239,#9240,#9241,#9242,#9243,#9244))!#3293=EDGE_LOOP('',(#9245,#9246,#9247,#9248,#9249,#9250))!#3294=EDGE_LOOP('',(#9251))!#3295=EDGE_LOOP('',(#9252,#9253,#9254,#9255))!#3296=EDGE_LOOP('',(#9256,#9257,#9258,#9259))!#3297=EDGE_LOOP('',(#9260,#9261,#9262,#9263))!#3298=EDGE_LOOP('',(#9264,#9265,#9266,#9267,#9268,#9269,#9270,#9271,#9272, #9273,#9274,#9275,#9276,#9277,#9278,#9279,#9280,#9281,#9282,#9283,#9284, #9285,#9286,#9287,#9288,#9289))!#3299=EDGE_LOOP('',(#9290,#9291,#9292,#9293))!#3300=EDGE_LOOP('',(#9294,#9295,#9296,#9297))!#3301=EDGE_LOOP('',(#9298,#9299,#9300,#9301,#9302,#9303,#9304,#9305,#9306, #9307,#9308,#9309,#9310,#9311,#9312,#9313,#9314,#9315,#9316,#9317,#9318, #9319,#9320,#9321,#9322,#9323))!#3302=EDGE_LOOP('',(#9324,#9325,#9326,#9327))!#3303=EDGE_LOOP('',(#9328,#9329,#9330,#9331))!#3304=EDGE_LOOP('',(#9332,#9333,#9334,#9335,#9336,#9337,#9338,#9339))!#3305=EDGE_LOOP('',(#9340,#9341,#9342,#9343,#9344,#9345,#9346,#9347,#9348, #9349,#9350,#9351,#9352,#9353,#9354,#9355,#9356,#9357,#9358,#9359,#9360, #9361,#9362))!#3306=EDGE_LOOP('',(#9363,#9364))!#3307=EDGE_LOOP('',(#9365,#9366,#9367,#9368,#9369,#9370,#9371,#9372,#9373, #9374,#9375,#9376,#9377,#9378,#9379,#9380,#9381,#9382,#9383))!#3308=EDGE_LOOP('',(#9384,#9385,#9386,#9387,#9388,#9389,#9390,#9391,#9392, #9393,#9394,#9395,#9396,#9397,#9398,#9399,#9400,#9401,#9402,#9403,#9404, #9405,#9406,#9407,#9408,#9409,#9410))!#3309=EDGE_LOOP('',(#9411,#9412,#9413,#9414,#9415,#9416,#9417,#9418,#9419, #9420,#9421,#9422,#9423))!#3310=EDGE_LOOP('',(#9424,#9425,#9426,#9427,#9428,#9429,#9430,#9431))!#3311=EDGE_LOOP('',(#9432,#9433,#9434,#9435))!#3312=EDGE_LOOP('',(#9436,#9437,#9438,#9439))!#3313=EDGE_LOOP('',(#9440,#9441,#9442,#9443))!#3314=EDGE_LOOP('',(#9444,#9445,#9446,#9447))!#3315=EDGE_LOOP('',(#9448,#9449,#9450,#9451))!#3316=EDGE_LOOP('',(#9452,#9453,#9454,#9455))!#3317=EDGE_LOOP('',(#9456,#9457,#9458,#9459))!#3318=EDGE_LOOP('',(#9460,#9461,#9462,#9463))!#3319=EDGE_LOOP('',(#9464,#9465,#9466,#9467))!#3320=EDGE_LOOP('',(#9468,#9469,#9470,#9471))!#3321=EDGE_LOOP('',(#9472,#9473,#9474,#9475))!#3322=EDGE_LOOP('',(#9476,#9477,#9478,#9479))!#3323=EDGE_LOOP('',(#9480,#9481,#9482,#9483))!#3324=EDGE_LOOP('',(#9484,#9485,#9486,#9487))!#3325=EDGE_LOOP('',(#9488,#9489,#9490,#9491))!#3326=EDGE_LOOP('',(#9492,#9493,#9494,#9495))!#3327=EDGE_LOOP('',(#9496,#9497,#9498,#9499))!#3328=EDGE_LOOP('',(#9500,#9501,#9502,#9503))!#3329=EDGE_LOOP('',(#9504,#9505,#9506,#9507))!#3330=EDGE_LOOP('',(#9508,#9509,#9510,#9511,#9512,#9513,#9514))!#3331=EDGE_LOOP('',(#9515,#9516,#9517,#9518,#9519,#9520,#9521,#9522))!#3332=EDGE_LOOP('',(#9523,#9524,#9525,#9526,#9527,#9528,#9529))!#3333=EDGE_LOOP('',(#9530,#9531))!#3334=EDGE_LOOP('',(#9532,#9533))!#3335=EDGE_LOOP('',(#9534,#9535,#9536,#9537,#9538,#9539,#9540,#9541,#9542, #9543,#9544,#9545,#9546))!#3336=EDGE_LOOP('',(#9547,#9548,#9549))!#3337=EDGE_LOOP('',(#9550,#9551,#9552))!#3338=EDGE_LOOP('',(#9553,#9554,#9555))!#3339=EDGE_LOOP('',(#9556,#9557,#9558))!#3340=EDGE_LOOP('',(#9559))!#3341=EDGE_LOOP('',(#9560))!#3342=EDGE_LOOP('',(#9561))!#3343=EDGE_LOOP('',(#9562))!#3344=EDGE_LOOP('',(#9563))!#3345=EDGE_LOOP('',(#9564))!#3346=EDGE_LOOP('',(#9565,#9566,#9567,#9568,#9569,#9570))!#3347=EDGE_LOOP('',(#9571,#9572,#9573,#9574,#9575,#9576,#9577))!#3348=EDGE_LOOP('',(#9578,#9579))!#3349=EDGE_LOOP('',(#9580))!#3350=EDGE_LOOP('',(#9581,#9582,#9583,#9584,#9585,#9586,#9587))!#3351=EDGE_LOOP('',(#9588,#9589))!#3352=EDGE_LOOP('',(#9590))!#3353=EDGE_LOOP('',(#9591,#9592,#9593,#9594,#9595,#9596,#9597))!#3354=EDGE_LOOP('',(#9598,#9599))!#3355=EDGE_LOOP('',(#9600))!#3356=EDGE_LOOP('',(#9601,#9602,#9603,#9604,#9605,#9606,#9607))!#3357=EDGE_LOOP('',(#9608,#9609))!#3358=EDGE_LOOP('',(#9610))!#3359=EDGE_LOOP('',(#9611,#9612))!#3360=EDGE_LOOP('',(#9613,#9614,#9615,#9616,#9617,#9618,#9619,#9620,#9621))!#3361=EDGE_LOOP('',(#9622,#9623))!#3362=EDGE_LOOP('',(#9624,#9625))!#3363=EDGE_LOOP('',(#9626,#9627,#9628,#9629))!#3364=EDGE_LOOP('',(#9630,#9631,#9632,#9633))!#3365=EDGE_LOOP('',(#9634,#9635,#9636,#9637))!#3366=EDGE_LOOP('',(#9638,#9639,#9640,#9641))!#3367=EDGE_LOOP('',(#9642,#9643,#9644,#9645,#9646,#9647))!#3368=EDGE_LOOP('',(#9648,#9649,#9650,#9651,#9652))!#3369=EDGE_LOOP('',(#9653,#9654,#9655,#9656,#9657))!#3370=EDGE_LOOP('',(#9658,#9659,#9660,#9661,#9662))!#3371=EDGE_LOOP('',(#9663,#9664,#9665,#9666,#9667))!#3372=EDGE_LOOP('',(#9668,#9669,#9670,#9671,#9672))!#3373=EDGE_LOOP('',(#9673,#9674,#9675,#9676,#9677))!#3374=EDGE_LOOP('',(#9678,#9679,#9680))!#3375=EDGE_LOOP('',(#9681,#9682,#9683))!#3376=EDGE_LOOP('',(#9684,#9685,#9686))!#3377=EDGE_LOOP('',(#9687,#9688,#9689))!#3378=EDGE_LOOP('',(#9690,#9691,#9692))!#3379=EDGE_LOOP('',(#9693,#9694,#9695))!#3380=EDGE_LOOP('',(#9696,#9697,#9698,#9699,#9700,#9701))!#3381=EDGE_LOOP('',(#9702,#9703,#9704,#9705,#9706))!#3382=EDGE_LOOP('',(#9707,#9708,#9709,#9710,#9711))!#3383=EDGE_LOOP('',(#9712,#9713,#9714,#9715,#9716))!#3384=EDGE_LOOP('',(#9717,#9718,#9719,#9720,#9721))!#3385=EDGE_LOOP('',(#9722,#9723,#9724,#9725,#9726))!#3386=EDGE_LOOP('',(#9727,#9728,#9729,#9730,#9731))!#3387=EDGE_LOOP('',(#9732,#9733,#9734))!#3388=EDGE_LOOP('',(#9735,#9736,#9737))!#3389=EDGE_LOOP('',(#9738,#9739,#9740))!#3390=EDGE_LOOP('',(#9741,#9742,#9743))!#3391=EDGE_LOOP('',(#9744,#9745,#9746))!#3392=EDGE_LOOP('',(#9747,#9748,#9749))!#3393=CIRCLE('',#10809,0.281250000000001)!#3394=CIRCLE('',#10811,0.281250000000001)!#3395=CIRCLE('',#10813,0.281250000000001)!#3396=CIRCLE('',#10815,0.281250000000001)!#3397=CIRCLE('',#10817,0.281250000000001)!#3398=CIRCLE('',#10819,0.281250000000001)!#3399=CIRCLE('',#10828,0.281250000000001)!#3400=CIRCLE('',#10830,0.281250000000001)!#3401=CIRCLE('',#10832,0.281250000000001)!#3402=CIRCLE('',#10834,0.281250000000001)!#3403=CIRCLE('',#10836,0.281250000000001)!#3404=CIRCLE('',#10838,0.281250000000001)!#3405=CIRCLE('',#10873,0.29039698399731)!#3406=CIRCLE('',#10904,0.1875)!#3407=CIRCLE('',#10906,0.1875)!#3408=CIRCLE('',#10908,0.1875)!#3409=CIRCLE('',#10910,0.1875)!#3410=CIRCLE('',#10921,0.1875)!#3411=CIRCLE('',#10923,0.1875)!#3412=CIRCLE('',#10925,0.1875)!#3413=CIRCLE('',#10927,0.1875)!#3414=CIRCLE('',#10935,0.5)!#3415=CIRCLE('',#10936,0.5)!#3416=CIRCLE('',#10937,0.5)!#3417=CIRCLE('',#10939,0.5)!#3418=CIRCLE('',#10941,0.5)!#3419=CIRCLE('',#10942,0.5)!#3420=CIRCLE('',#10943,0.5)!#3421=CIRCLE('',#10945,0.500000000000001)!#3422=CIRCLE('',#10947,0.205)!#3423=CIRCLE('',#10948,0.205)!#3424=CIRCLE('',#10950,0.5)!#3425=CIRCLE('',#10951,0.5)!#3426=CIRCLE('',#10960,0.1875)!#3427=CIRCLE('',#10962,0.1875)!#3428=CIRCLE('',#10964,0.1875)!#3429=CIRCLE('',#10966,0.1875)!#3430=CIRCLE('',#10977,0.1875)!#3431=CIRCLE('',#10979,0.1875)!#3432=CIRCLE('',#10981,0.1875)!#3433=CIRCLE('',#10983,0.1875)!#3434=CIRCLE('',#10991,0.5)!#3435=CIRCLE('',#10992,0.5)!#3436=CIRCLE('',#10993,0.5)!#3437=CIRCLE('',#10995,0.5)!#3438=CIRCLE('',#10997,0.5)!#3439=CIRCLE('',#10998,0.5)!#3440=CIRCLE('',#10999,0.5)!#3441=CIRCLE('',#11001,0.500000000000001)!#3442=CIRCLE('',#11003,0.205)!#3443=CIRCLE('',#11004,0.205)!#3444=CIRCLE('',#11006,0.5)!#3445=CIRCLE('',#11007,0.5)!#3446=CIRCLE('',#11013,0.935)!#3447=CIRCLE('',#11014,0.935)!#3448=CIRCLE('',#11016,1.03210909355034)!#3449=CIRCLE('',#11017,0.045)!#3450=CIRCLE('',#11018,1.0025)!#3451=CIRCLE('',#11019,1.0025)!#3452=CIRCLE('',#11020,1.03210909355034)!#3453=CIRCLE('',#11022,1.2575)!#3454=CIRCLE('',#11023,1.2575)!#3455=CIRCLE('',#11025,1.0025)!#3456=CIRCLE('',#11026,1.0025)!#3457=CIRCLE('',#11028,1.18719919726799)!#3458=CIRCLE('',#11029,0.031)!#3459=CIRCLE('',#11030,1.15866354681096)!#3460=CIRCLE('',#11031,1.15866354681096)!#3461=CIRCLE('',#11032,1.18719919726799)!#3462=CIRCLE('',#11034,1.15226372811226)!#3463=CIRCLE('',#11035,1.15226372811226)!#3464=CIRCLE('',#11036,1.15226372811226)!#3465=CIRCLE('',#11038,1.2575)!#3466=CIRCLE('',#11039,1.2575)!#3467=CIRCLE('',#11041,1.05933645318904)!#3468=CIRCLE('',#11042,0.031)!#3469=CIRCLE('',#11043,1.03080080273201)!#3470=CIRCLE('',#11044,1.03080080273201)!#3471=CIRCLE('',#11045,1.05933645318904)!#3472=CIRCLE('',#11047,0.935)!#3473=CIRCLE('',#11048,0.935)!#3474=CIRCLE('',#11050,1.06573627188774)!#3475=CIRCLE('',#11051,1.06573627188774)!#3476=CIRCLE('',#11052,1.06573627188774)!#3477=CIRCLE('',#11054,0.047)!#3478=CIRCLE('',#11058,1.2525)!#3479=CIRCLE('',#11059,1.2525)!#3480=CIRCLE('',#11060,1.25)!#3481=CIRCLE('',#11061,1.25)!#3482=CIRCLE('',#11063,1.185)!#3483=CIRCLE('',#11064,1.185)!#3484=CIRCLE('',#11066,1.28210909355035)!#3485=CIRCLE('',#11067,0.045)!#3486=CIRCLE('',#11068,1.2525)!#3487=CIRCLE('',#11069,1.2525)!#3488=CIRCLE('',#11070,1.28210909355035)!#3489=CIRCLE('',#11072,1.525)!#3490=CIRCLE('',#11073,1.525)!#3491=CIRCLE('',#11076,1.46869919726799)!#3492=CIRCLE('',#11077,0.031)!#3493=CIRCLE('',#11078,1.44016354681096)!#3494=CIRCLE('',#11079,1.44016354681096)!#3495=CIRCLE('',#11080,1.46869919726799)!#3496=CIRCLE('',#11082,1.43376372811226)!#3497=CIRCLE('',#11083,1.43376372811226)!#3498=CIRCLE('',#11084,1.43376372811226)!#3499=CIRCLE('',#11086,1.525)!#3500=CIRCLE('',#11087,1.525)!#3501=CIRCLE('',#11089,1.34083645318904)!#3502=CIRCLE('',#11090,0.031)!#3503=CIRCLE('',#11091,1.31230080273201)!#3504=CIRCLE('',#11092,1.31230080273201)!#3505=CIRCLE('',#11093,1.34083645318904)!#3506=CIRCLE('',#11095,1.185)!#3507=CIRCLE('',#11096,1.185)!#3508=CIRCLE('',#11098,1.34723627188774)!#3509=CIRCLE('',#11099,1.34723627188774)!#3510=CIRCLE('',#11100,1.34723627188774)!#3511=CIRCLE('',#11102,0.047)!#3512=CIRCLE('',#11106,0.25)!#3513=CIRCLE('',#11107,0.25)!#3514=CIRCLE('',#11108,0.25)!#3515=CIRCLE('',#11109,0.25)!#3516=CIRCLE('',#11111,0.275)!#3517=CIRCLE('',#11112,0.025)!#3518=CIRCLE('',#11113,0.275)!#3519=CIRCLE('',#11115,0.3375)!#3520=CIRCLE('',#11116,0.3375)!#3521=CIRCLE('',#11118,0.375000000000001)!#3522=CIRCLE('',#11120,0.375000000000001)!#3523=CIRCLE('',#11122,0.375000000000001)!#3524=CIRCLE('',#11124,0.375000000000001)!#3525=CIRCLE('',#11126,0.375000000000001)!#3526=CIRCLE('',#11128,0.375000000000001)!#3527=CIRCLE('',#11133,0.3375)!#3528=CIRCLE('',#11134,0.3375)!#3529=CIRCLE('',#11141,0.25)!#3530=CIRCLE('',#11142,0.25)!#3531=CIRCLE('',#11143,0.25)!#3532=CIRCLE('',#11144,0.25)!#3533=CIRCLE('',#11146,0.275)!#3534=CIRCLE('',#11147,0.025)!#3535=CIRCLE('',#11148,0.275)!#3536=CIRCLE('',#11150,0.3375)!#3537=CIRCLE('',#11151,0.3375)!#3538=CIRCLE('',#11153,0.375000000000001)!#3539=CIRCLE('',#11155,0.375000000000001)!#3540=CIRCLE('',#11157,0.375000000000001)!#3541=CIRCLE('',#11159,0.375000000000001)!#3542=CIRCLE('',#11161,0.375000000000001)!#3543=CIRCLE('',#11163,0.375000000000001)!#3544=CIRCLE('',#11168,0.3375)!#3545=CIRCLE('',#11169,0.3375)!#3546=CIRCLE('',#11176,0.25)!#3547=CIRCLE('',#11177,0.25)!#3548=CIRCLE('',#11178,0.25)!#3549=CIRCLE('',#11179,0.25)!#3550=CIRCLE('',#11181,0.275)!#3551=CIRCLE('',#11182,0.025)!#3552=CIRCLE('',#11183,0.275)!#3553=CIRCLE('',#11185,0.3375)!#3554=CIRCLE('',#11186,0.3375)!#3555=CIRCLE('',#11188,0.375000000000001)!#3556=CIRCLE('',#11190,0.375000000000001)!#3557=CIRCLE('',#11192,0.375000000000001)!#3558=CIRCLE('',#11194,0.375000000000001)!#3559=CIRCLE('',#11196,0.375000000000001)!#3560=CIRCLE('',#11198,0.375000000000001)!#3561=CIRCLE('',#11203,0.3375)!#3562=CIRCLE('',#11204,0.3375)!#3563=CIRCLE('',#11211,0.25)!#3564=CIRCLE('',#11212,0.25)!#3565=CIRCLE('',#11213,0.25)!#3566=CIRCLE('',#11214,0.25)!#3567=CIRCLE('',#11216,0.275)!#3568=CIRCLE('',#11217,0.025)!#3569=CIRCLE('',#11218,0.275)!#3570=CIRCLE('',#11220,0.3375)!#3571=CIRCLE('',#11221,0.3375)!#3572=CIRCLE('',#11223,0.375000000000001)!#3573=CIRCLE('',#11225,0.375000000000001)!#3574=CIRCLE('',#11227,0.375000000000001)!#3575=CIRCLE('',#11229,0.375000000000001)!#3576=CIRCLE('',#11231,0.375000000000001)!#3577=CIRCLE('',#11233,0.375000000000001)!#3578=CIRCLE('',#11238,0.3375)!#3579=CIRCLE('',#11239,0.3375)!#3580=CIRCLE('',#11246,0.250000000000001)!#3581=CIRCLE('',#11248,0.250000000000001)!#3582=CIRCLE('',#11250,0.250000000000001)!#3583=CIRCLE('',#11252,0.250000000000001)!#3584=CIRCLE('',#11254,0.250000000000001)!#3585=CIRCLE('',#11256,0.250000000000001)!#3586=CIRCLE('',#11266,0.216535433070866)!#3587=CIRCLE('',#11267,0.196850393700787)!#3588=CIRCLE('',#11289,3.91732283464567)!#3589=CIRCLE('',#11290,3.91732283464567)!#3590=CIRCLE('',#11292,3.91732283464567)!#3591=CIRCLE('',#11293,3.91732283464567)!#3592=CIRCLE('',#11296,0.433070866141732)!#3593=CIRCLE('',#11298,0.433070866141732)!#3594=CIRCLE('',#11300,0.433070866141732)!#3595=CIRCLE('',#11301,0.433070866141732)!#3596=CIRCLE('',#11304,0.191479136612826)!#3597=CIRCLE('',#11307,0.191479136612826)!#3598=CIRCLE('',#11311,3.91732283464567)!#3599=CIRCLE('',#11312,0.452755905511811)!#3600=CIRCLE('',#11316,0.452755905511811)!#3601=CIRCLE('',#11318,3.91732283464567)!#3602=CIRCLE('',#11320,3.91732283464567)!#3603=CIRCLE('',#11321,3.91732283464567)!#3604=CIRCLE('',#11322,3.91732283464567)!#3605=CIRCLE('',#11323,3.91732283464567)!#3606=CIRCLE('',#11325,3.91732283464567)!#3607=CIRCLE('',#11326,3.91732283464567)!#3608=CIRCLE('',#11328,3.91732283464567)!#3609=CIRCLE('',#11329,3.91732283464567)!#3610=CIRCLE('',#11331,3.91732283464567)!#3611=CIRCLE('',#11332,3.91732283464567)!#3612=CIRCLE('',#11333,3.91732283464567)!#3613=CIRCLE('',#11334,3.91732283464567)!#3614=CIRCLE('',#11336,0.452755905511811)!#3615=CIRCLE('',#11337,3.91732283464567)!#3616=CIRCLE('',#11339,0.452755905511811)!#3617=CIRCLE('',#11340,3.91732283464567)!#3618=CIRCLE('',#11342,0.452755905511811)!#3619=CIRCLE('',#11346,0.452755905511811)!#3620=CIRCLE('',#11351,3.91732283464567)!#3621=CIRCLE('',#11352,3.91732283464567)!#3622=CIRCLE('',#11354,3.5630480542402)!#3623=CIRCLE('',#11355,3.5630480542402)!#3624=CIRCLE('',#11356,3.5630480542402)!#3625=CIRCLE('',#11360,3.83863860542131)!#3626=CIRCLE('',#11363,3.74015748031496)!#3627=CIRCLE('',#11364,3.83863860542131)!#3628=CIRCLE('',#11365,3.74015748031496)!#3629=CIRCLE('',#11369,3.74015748031496)!#3630=CIRCLE('',#11370,3.83863860542131)!#3631=CIRCLE('',#11373,3.5630480542402)!#3632=CIRCLE('',#11374,3.5630480542402)!#3633=CIRCLE('',#11376,3.83863860542131)!#3634=CIRCLE('',#11380,3.74015748031496)!#3635=CIRCLE('',#11381,3.74015748031496)!#3636=CIRCLE('',#11382,3.74015748031496)!#3637=CIRCLE('',#11383,3.74015748031496)!#3638=CIRCLE('',#11385,3.30708661417323)!#3639=CIRCLE('',#11386,3.30708661417323)!#3640=CIRCLE('',#11387,3.30708661417323)!#3641=CIRCLE('',#11388,3.30708661417323)!#3642=CIRCLE('',#11389,3.30708661417323)!#3643=CIRCLE('',#11390,3.30708661417323)!#3644=CIRCLE('',#11392,3.37184841108999)!#3645=CIRCLE('',#11393,0.0984251968503937)!#3646=CIRCLE('',#11394,3.37184841108999)!#3647=CIRCLE('',#11395,3.37184841108999)!#3648=CIRCLE('',#11397,3.4251968503937)!#3649=CIRCLE('',#11399,2.65748031496063)!#3650=CIRCLE('',#11400,2.71653543307087)!#3651=CIRCLE('',#11401,2.71653543307087)!#3652=CIRCLE('',#11403,2.65748031496063)!#3653=CIRCLE('',#11404,2.65748031496063)!#3654=CIRCLE('',#11405,2.65748031496063)!#3655=CIRCLE('',#11406,2.65748031496063)!#3656=CIRCLE('',#11408,2.71653543307087)!#3657=CIRCLE('',#11410,0.590551181102362)!#3658=CIRCLE('',#11412,1.00393700787402)!#3659=CIRCLE('',#11413,1.00393700787402)!#3660=CIRCLE('',#11415,0.663385826771653)!#3661=CIRCLE('',#11416,0.663385826771653)!#3662=CIRCLE('',#11418,0.663385826771653)!#3663=CIRCLE('',#11421,1.25)!#3664=CIRCLE('',#11422,1.25)!#3665=CIRCLE('',#11423,1.25)!#3666=CIRCLE('',#11425,1.40748031496063)!#3667=CIRCLE('',#11426,0.157480314960631)!#3668=CIRCLE('',#11427,1.40748031496063)!#3669=CIRCLE('',#11429,1.74941126402957)!#3670=CIRCLE('',#11430,1.74941126402957)!#3671=CIRCLE('',#11432,1.83439874807233)!#3672=CIRCLE('',#11433,0.196850393700771)!#3673=CIRCLE('',#11434,1.83439874807233)!#3674=CIRCLE('',#11435,1.83439874807233)!#3675=CIRCLE('',#11437,2.02662487397491)!#3676=CIRCLE('',#11438,2.02662487397491)!#3677=CIRCLE('',#11439,2.02662487397491)!#3678=CIRCLE('',#11441,0.196850393700773)!#3679=CIRCLE('',#11442,2.11161235801767)!#3680=CIRCLE('',#11443,2.11161235801767)!#3681=CIRCLE('',#11445,2.49547244094488)!#3682=CIRCLE('',#11446,2.49547244094488)!#3683=CIRCLE('',#11448,2.69690632195674)!#3684=CIRCLE('',#11449,2.8740157480315)!#3685=CIRCLE('',#11450,2.69690632195674)!#3686=CIRCLE('',#11451,2.8740157480315)!#3687=CIRCLE('',#11452,2.69690632195674)!#3688=CIRCLE('',#11454,3.45628251291375)!#3689=CIRCLE('',#11455,3.45628251291375)!#3690=CIRCLE('',#11456,3.45628251291375)!#3691=CIRCLE('',#11458,3.4251968503937)!#3692=CIRCLE('',#11459,3.4251968503937)!#3693=CIRCLE('',#11460,3.4251968503937)!#3694=CIRCLE('',#11462,0.047244094488189)!#3695=CIRCLE('',#11464,2.60897437765477)!#3696=CIRCLE('',#11465,2.60897437765477)!#3697=CIRCLE('',#11466,2.60897437765477)!#3698=CIRCLE('',#11468,2.59842519685039)!#3699=CIRCLE('',#11469,2.59842519685039)!#3700=CIRCLE('',#11470,2.59842519685039)!#3701=CIRCLE('',#11471,0.0787401574803049)!#3702=CIRCLE('',#11473,2.59842519685039)!#3703=CIRCLE('',#11474,2.59842519685039)!#3704=CIRCLE('',#11475,2.59842519685039)!#3705=CIRCLE('',#11477,2.6502346343838)!#3706=CIRCLE('',#11478,2.6502346343838)!#3707=CIRCLE('',#11479,2.6502346343838)!#3708=CIRCLE('',#11480,0.0787401574803154)!#3709=CIRCLE('',#11482,2.86417322834646)!#3710=CIRCLE('',#11483,2.8740157480315)!#3711=CIRCLE('',#11485,2.8740157480315)!#3712=CIRCLE('',#11486,2.86417322834646)!#3713=CIRCLE('',#11488,2.86417322834646)!#3714=CIRCLE('',#11490,2.86417322834646)!#3715=CIRCLE('',#11494,2.69690632195674)!#3716=CIRCLE('',#11495,2.69690632195674)!#3717=CIRCLE('',#11496,2.69690632195674)!#3718=CIRCLE('',#11498,3.0511811023622)!#3719=CIRCLE('',#11499,3.0511811023622)!#3720=CIRCLE('',#11500,3.0511811023622)!#3721=CIRCLE('',#11501,2.97249687313785)!#3722=CIRCLE('',#11503,2.97249687313785)!#3723=CIRCLE('',#11504,3.05118110236221)!#3724=CIRCLE('',#11505,3.05118110236221)!#3725=CIRCLE('',#11506,3.05118110236221)!#3726=CIRCLE('',#11508,2.69690632195674)!#3727=CIRCLE('',#11510,2.69690632195674)!#3728=CIRCLE('',#11516,3.05118110236221)!#3729=CIRCLE('',#11517,3.05118110236221)!#3730=CIRCLE('',#11518,3.05118110236221)!#3731=CIRCLE('',#11519,3.05118110236221)!#3732=CIRCLE('',#11520,2.97249687313785)!#3733=CIRCLE('',#11522,2.69690632195674)!#3734=CIRCLE('',#11524,2.69690632195674)!#3735=CIRCLE('',#11525,2.97249687313785)!#3736=CIRCLE('',#11527,3.0511811023622)!#3737=CIRCLE('',#11528,3.0511811023622)!#3738=CIRCLE('',#11529,3.0511811023622)!#3739=CIRCLE('',#11530,3.0511811023622)!#3740=CIRCLE('',#11539,0.452755905511811)!#3741=CIRCLE('',#11540,0.452755905511811)!#3742=CIRCLE('',#11541,0.452755905511811)!#3743=CIRCLE('',#11542,0.452755905511811)!#3744=CIRCLE('',#11544,3.0511811023622)!#3745=CIRCLE('',#11545,3.05118110236221)!#3746=CIRCLE('',#11549,3.05118110236221)!#3747=CIRCLE('',#11550,3.0511811023622)!#3748=CIRCLE('',#11552,3.0511811023622)!#3749=CIRCLE('',#11554,3.0511811023622)!#3750=CIRCLE('',#11560,0.452755905511811)!#3751=CIRCLE('',#11562,0.452755905511811)!#3752=CIRCLE('',#11567,0.433070866141732)!#3753=CIRCLE('',#11568,3.05118110236221)!#3754=CIRCLE('',#11569,0.191479136612826)!#3755=CIRCLE('',#11572,0.191479136612826)!#3756=CIRCLE('',#11575,0.433070866141732)!#3757=CIRCLE('',#11576,3.05118110236221)!#3758=CIRCLE('',#11579,0.433070866141732)!#3759=CIRCLE('',#11580,0.433070866141732)!#3760=CIRCLE('',#11584,0.216535433070866)!#3761=CIRCLE('',#11593,0.196850393700787)!#3762=CIRCLE('',#11594,0.196850393700787)!#3763=CIRCLE('',#11607,0.196850393700787)!#3764=CIRCLE('',#11610,0.250000000000001)!#3765=CIRCLE('',#11611,0.250000000000001)!#3766=CIRCLE('',#11612,0.250000000000001)!#3767=CIRCLE('',#11613,0.250000000000001)!#3768=CIRCLE('',#11614,0.250000000000001)!#3769=CIRCLE('',#11615,0.250000000000001)!#3770=CIRCLE('',#11629,0.275590551181102)!#3771=CIRCLE('',#11631,4.52000000000001)!#3772=CIRCLE('',#11632,4.52000000000001)!#3773=CIRCLE('',#11633,4.52)!#3774=CIRCLE('',#11634,4.52)!#3775=CIRCLE('',#11636,4.78)!#3776=CIRCLE('',#11637,4.78)!#3777=CIRCLE('',#11639,4.78)!#3778=CIRCLE('',#11640,4.78)!#3779=CIRCLE('',#11641,4.78)!#3780=CIRCLE('',#11642,4.78)!#3781=CIRCLE('',#11643,4.78)!#3782=CIRCLE('',#11644,4.78)!#3783=CIRCLE('',#11645,4.78)!#3784=CIRCLE('',#11646,4.78)!#3785=CIRCLE('',#11648,5.11)!#3786=CIRCLE('',#11649,5.11)!#3787=CIRCLE('',#11653,0.161)!#3788=CIRCLE('',#11654,0.161)!#3789=CIRCLE('',#11657,0.459)!#3790=CIRCLE('',#11658,0.459)!#3791=CIRCLE('',#11661,0.161)!#3792=CIRCLE('',#11662,0.161)!#3793=CIRCLE('',#11664,0.5)!#3794=CIRCLE('',#11665,0.5)!#3795=CIRCLE('',#11666,0.205)!#3796=CIRCLE('',#11669,0.37)!#3797=CIRCLE('',#11670,0.37)!#3798=CIRCLE('',#11673,0.25)!#3799=CIRCLE('',#11674,0.25)!#3800=CIRCLE('',#11677,0.25)!#3801=CIRCLE('',#11678,0.25)!#3802=CIRCLE('',#11681,0.37)!#3803=CIRCLE('',#11682,0.37)!#3804=CIRCLE('',#11684,0.459)!#3805=CIRCLE('',#11685,0.459)!#3806=CIRCLE('',#11687,4.88)!#3807=CIRCLE('',#11688,4.88)!#3808=CIRCLE('',#11691,4.88)!#3809=CIRCLE('',#11693,0.25)!#3810=CIRCLE('',#11694,0.25)!#3811=CIRCLE('',#11695,0.25)!#3812=CIRCLE('',#11696,0.25)!#3813=CIRCLE('',#11699,4.88)!#3814=CIRCLE('',#11702,0.533316035288012)!#3815=CIRCLE('',#11703,0.533316035288012)!#3816=CIRCLE('',#11704,0.239)!#3817=CIRCLE('',#11705,0.239)!#3818=CIRCLE('',#11707,0.239)!#3819=CIRCLE('',#11708,0.239)!#3820=CIRCLE('',#11710,0.113210526315789)!#3821=CIRCLE('',#11711,0.113210526315789)!#3822=CIRCLE('',#11715,0.155)!#3823=CIRCLE('',#11716,0.155)!#3824=CIRCLE('',#11717,0.5)!#3825=CIRCLE('',#11719,0.205)!#3826=CIRCLE('',#11722,5.11)!#3827=CIRCLE('',#11723,5.11)!#3828=CIRCLE('',#11724,5.11)!#3829=CIRCLE('',#11726,4.384)!#3830=CIRCLE('',#11727,4.384)!#3831=CIRCLE('',#11729,0.726)!#3832=CIRCLE('',#11731,0.5)!#3833=CIRCLE('',#11733,0.5)!#3834=CIRCLE('',#11738,0.8)!#3835=CIRCLE('',#11739,0.8)!#3836=CIRCLE('',#11742,0.8)!#3837=CIRCLE('',#11744,0.8)!#3838=CIRCLE('',#11748,0.125)!#3839=CIRCLE('',#11749,0.125)!#3840=CIRCLE('',#11750,0.125)!#3841=CIRCLE('',#11751,0.125000000000001)!#3842=CIRCLE('',#11754,0.25)!#3843=CIRCLE('',#11755,0.25)!#3844=CIRCLE('',#11756,0.25)!#3845=CIRCLE('',#11757,0.25)!#3846=CIRCLE('',#11760,0.25)!#3847=CIRCLE('',#11762,0.125)!#3848=CIRCLE('',#11763,0.125)!#3849=CIRCLE('',#11765,0.25)!#3850=CIRCLE('',#11767,0.25)!#3851=CIRCLE('',#11769,0.125)!#3852=CIRCLE('',#11770,0.125)!#3853=CIRCLE('',#11772,0.125)!#3854=CIRCLE('',#11773,0.125)!#3855=CIRCLE('',#11775,0.250000000000001)!#3856=CIRCLE('',#11777,0.125)!#3857=CIRCLE('',#11778,0.125)!#3858=CIRCLE('',#11786,3.54228108543398)!#3859=CIRCLE('',#11787,3.54228108543398)!#3860=CIRCLE('',#11788,3.54228108543398)!#3861=CIRCLE('',#11790,3.46456692913386)!#3862=CIRCLE('',#11791,0.118110236220472)!#3863=CIRCLE('',#11792,3.46456692913386)!#3864=CIRCLE('',#11793,3.46456692913386)!#3865=CIRCLE('',#11795,3.46456692913386)!#3866=CIRCLE('',#11796,3.46456692913386)!#3867=CIRCLE('',#11798,3.50393700787402)!#3868=CIRCLE('',#11799,3.50393700787402)!#3869=CIRCLE('',#11801,3.50393700787402)!#3870=CIRCLE('',#11802,3.50393700787402)!#3871=CIRCLE('',#11803,3.50393700787402)!#3872=CIRCLE('',#11804,3.50393700787402)!#3873=CIRCLE('',#11805,3.50393700787402)!#3874=CIRCLE('',#11806,3.50393700787402)!#3875=CIRCLE('',#11807,3.50393700787402)!#3876=CIRCLE('',#11808,3.50393700787402)!#3877=CIRCLE('',#11809,3.50393700787402)!#3878=CIRCLE('',#11811,0.531496062992126)!#3879=CIRCLE('',#11812,0.531496062992126)!#3880=CIRCLE('',#11814,0.531496062992126)!#3881=CIRCLE('',#11815,0.531496062992126)!#3882=CIRCLE('',#11817,0.531496062992126)!#3883=CIRCLE('',#11818,0.531496062992126)!#3884=CIRCLE('',#11820,0.531496062992126)!#3885=CIRCLE('',#11821,0.531496062992126)!#3886=CIRCLE('',#11823,0.275590551181102)!#3887=CIRCLE('',#11825,0.275590551181102)!#3888=CIRCLE('',#11827,0.275590551181102)!#3889=CIRCLE('',#11829,4.52755905511811)!#3890=CIRCLE('',#11830,4.52755905511811)!#3891=CIRCLE('',#11831,4.52755905511811)!#3892=CIRCLE('',#11832,4.52755905511811)!#3893=CIRCLE('',#11834,0.531496062992126)!#3894=CIRCLE('',#11835,0.531496062992126)!#3895=CIRCLE('',#11836,0.531496062992126)!#3896=CIRCLE('',#11838,0.275590551181102)!#3897=CIRCLE('',#11840,0.531496062992126)!#3898=CIRCLE('',#11841,0.531496062992126)!#3899=CIRCLE('',#11842,0.531496062992126)!#3900=CIRCLE('',#11844,0.275590551181102)!#3901=CIRCLE('',#11846,0.531496062992126)!#3902=CIRCLE('',#11847,0.531496062992126)!#3903=CIRCLE('',#11848,0.531496062992126)!#3904=CIRCLE('',#11850,0.275590551181102)!#3905=CIRCLE('',#11852,0.531496062992126)!#3906=CIRCLE('',#11853,0.531496062992126)!#3907=CIRCLE('',#11854,0.531496062992126)!#3908=CIRCLE('',#11856,0.275590551181102)!#3909=CIRCLE('',#11864,0.281250000000001)!#3910=CIRCLE('',#11865,0.281250000000001)!#3911=CIRCLE('',#11866,0.281250000000001)!#3912=CIRCLE('',#11867,0.281250000000001)!#3913=CIRCLE('',#11868,0.281250000000001)!#3914=CIRCLE('',#11869,0.281250000000001)!#3915=CIRCLE('',#11883,0.281250000000001)!#3916=CIRCLE('',#11884,0.281250000000001)!#3917=CIRCLE('',#11885,0.281250000000001)!#3918=CIRCLE('',#11886,0.281250000000001)!#3919=CIRCLE('',#11887,0.281250000000001)!#3920=CIRCLE('',#11888,0.281250000000001)!unservie.f.bsline_CURVED_CURVE2,#14851,#14852,#14853.F.F.F.CURVE_CURVE_WITH_KNOTS3,3,3(0.41841638035061,0.836832760122).UNSEFED.CURVE#3922=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14856,#14857,#14858),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3923=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14862,#14863,#14864),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVED#CURVE2,#14865#14866#14867.CUREVEB4LEET#F.F.F.CURVE_CURVE#WITH#KNOTSS3,3,3,04.1841680350061,0.836832760122/UNSEFEDunservie.f.#3926=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14876,#14877,#14878),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3927=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14882,#14883,#14884),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVED#CURVE2,#14885#14886#14887unservie.f.bsline_CURVE#3,3,3(0.418680350061,0.83832760121).UNSEVE2,BEETREB#SPLINE_CURVE#3930=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14896,#14897,#14898),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!#3931=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14901,#14902,#14903),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVED#CURVE#2,#14904#14905#14906unservie.f.#3934=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14941,#14942,#14943),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3935=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14947,#14948,#14949),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.,f.)B_SPLINE_CURVETS(3,3,3(0.418680350061,0.836832760122)unservie.,F.)B_SPLINE_CURVETH_KNOTS(3,3,3(0.418680350061,0.836832760123)#3938=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14961,#14962,#14963),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3939=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14967,#14968,#14969),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.,f.)B_SPLINE_CURVETS(3,3,3(0.418680350061,0.836832760122)spreifed.B.F.F.F.Spline_CURVE_WITH_KNOTS(3,3,3,0.41841638035061,0.836832760121).UNspefed.CURVE2,#14977#14979.CUREM#3942=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14981,#14982,#14983),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!#3943=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14986,#14987,#14988),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!servie2,2,14989,14990,14991.UNSEFED.F.F.)BslINE_CURVE_WITH_KNOTS3,3,3(0.418318035061,0.836832760121)UNSEFEDunservie.f.bsline_CURVE#3946=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15802,#15803,#15804),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#3947=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15808,#15809,#15810),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)sslinde_Curve_WITH_KNOTS(3,3,3(0.486908403011,0.973298800233).UNspefeunservie.f.bsline_CURVE#3950=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15822,#15823,#15824),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspreified.b.F.f.#3952=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15831,#15832,#15833),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_CURVETS(3,3,3(0.486908403011,0.97329886222).unspefe#3954=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15883,#15884,#15885),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#3955=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15889,#15890,#15891),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_Curveh_Knotss3,3,98608400011,0.973298800233,.UNspeifeunservie.F.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3(0.4860840012,0.97329880023)#3958=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15903,#15904,#15905),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie.F.B_SPLINE_CURVETH_KNOTS(3,3,3,04.860840012,0.973298800233).UNSEVE#3960=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15912,#15913,#15914),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_Curve/knotss/3,3,9860840011,0.97329880022,.unspeife/2,#16013,#16014,#16015.cureve/Bservice_SPLINE_CULENE/CURVE#3962=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16018,#16019,#16020),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#3963=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16024,#16025,#16026),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.unspeified.b.F.f.#3966=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16038,#16039,#16040),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_Curve/knotss/3,3,9,64908402012,0.97329880023,.unserife/2,#16043,#16044/CURVE#3968=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16047,#16048,#16049),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.F.)ssline_Curvee_KNOTS(3,3,3(0.486908403011,0.97329886222).UNspeife.CURVE2,(#16094,#16095,#16096)#3970=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16099,#16100,#16101),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#3971=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16105,#16106,#16107),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVEunservie.F.B_SPLINE_CURVETH_KNOTS(3,3,3(0.486908403012,0.97329880023)#3974=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16119,#16120,#16121),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie2,#16124,#16125,#16126,.unsprefied.F.Bsline_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.97329880023)#3976=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16128,#16129,#16130),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspreified.b.F.f.#3978=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16398,#16399,#16400),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#3979=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16404,#16405,#16406),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_Curvee_WITH_KNOTS(3,3,3,557888507131416,11157770142683).UNSEVE_GEEETRIC_resentation_ITEM(Rial_BSLINE_CURVE[1.,1.0379549202,1]unspreife..f.bsline_CURVED_WITH_KNOTS(3,3,3,557888507131417,11157770142683).UNSEFE#3982=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16418,#16419,#16420),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3983=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16424,#16425,#16426),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_CURVEunservie.F.)B_SPLINE_CURVETH_KNOTS(3,3,3,5578885071316,11157770142683)#3986=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16438,#16439,#16440),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#3987=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16443,#16444,#16445),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_CURVETS (3,3,3,557888507131416,11157770142683).UNSEFEDRET_BSLINE_CURVEunspeifiede.f.f.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3,5578885071316,11157770142683)#3990=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16511,#16512,#16513),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#3991=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16517,#16518,#16519),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_CURVETS(3,3,3(057888507131416,11157770142683).UNSEFEunspeified.b.F.f.f.xline_CURVETS(3,3,3,5578885071317,11157770142683).UNspeife#3994=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16531,#16532,#16533),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#3995=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16537,#16538,#16539),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspeified.f.unspeifiede.f.f.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3,5578885071316,11157770142683)#3998=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16551,#16552,#16553),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#3999=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16556,#16557,#16558),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!sperified.b.f.f.f.sline_Curvee_WITH_KNOTS(3,3,3,557888507131416,11.157770142683).UNspecife.unsprefied.F.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3,5578885071316,11157770142683)#4002=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16624,#16625,#16626),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#4003=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16630,#16631,#16632),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.F.)B_SPLINE_CURVETH_KNOTS(3,3,3(05788850713142616,111577142683)unservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3,5578885071317,11157770142683)#4006=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16644,#16645,#16646),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#4007=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16650,#16651,#16652),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.F.)Bslive_Curvee_CURVEDLETH_KNOTS(3,3,3,3(057888507131416,11157770142683).UNSEFEGEETRE_SPLINEET_CURVEunservie.F.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3,5578885071316,11157770142683)#4010=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16664,#16665,#16666),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#4011=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16669,#16670,#16671),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.F.)B_SPLINE_CURVETH_KNOTS(3,3,3,55788850713142616,11157770142683)unservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3,5578885071316,11157770142683)#4014=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16737,#16738,#16739),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#4015=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16743,#16744,#16745),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!#4016=2,(#16746,#16747,#16748).UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11.157770142683).UNSEFEDE#4017=2,(#16753,#16754#16755).UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,5578885071317,11157770142683).UNSEFED#4018=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16757,#16758,#16759),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#4019=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16763,#16764,#16765),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#4020=2,#1676#16767#16768.UNSEFEED.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11157770142683).UNSEFEDERTERTERTERETETETETETunservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3(0578885071316,11157770142683).UNSEFED2,#16773,#16774/16775.CURVE#4022=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16777,#16778,#16779),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#4023=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16782,#16783,#16784),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unserifiede.F.F.)Bsline_Curvee_WITH_KNOTS(3,3,3,557888507131416,11157770142683)unservie.f.#4026=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16829,#16830,#16831),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!#4027=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16835,#16836,#16837),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3(0372671422276,0743851342452).UNspeifeunservie.f.#4030=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16849,#16850,#16851),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!#4031=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16855,#16856,#16857),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!unservie.f.unservie.F.)Bslive_Curvee_F.F.F.Live_CURVE_WITH_KNOTS(3,3,3(0,372671422276,0743851342452).UNServie#4034=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16869,#16870,#16871),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422277),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!#4035=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16874,#16875,#16876),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!unservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3(0372671422276,0743851344452).UNSEFED2,#16877#16878#16879)#4037=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17259,#17260,#17261),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.477905606674453),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00049366295902,1.00038081503079)) REPRESENTATION_ITEM('') )!#4038=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17265,#17266,#17267),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.262271714341751),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00024911449528,1.00032455338844)) REPRESENTATION_ITEM('') )!#4039=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17289,#17290,#17291),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.752387611685674),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00071464305868,1.)) REPRESENTATION_ITEM('') )!#4040=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17294,#17295,#17296),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.295877323112574,0.767683210289185), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00036940404386,1.00047922780851,1.)) REPRESENTATION_ITEM('') )!#4041=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17298,#17299,#17300),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.74293086181672),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00069458278167,1.)) REPRESENTATION_ITEM('') )!#4042=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17323,#17324,#17325),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.483775160621713,0.74293086181672), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00031554517433,1.0002422904971,1.)) REPRESENTATION_ITEM('') )!#4043=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17339,#17340,#17341),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.471805887174542),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00047922781709,1.00036940405048)) REPRESENTATION_ITEM('') )!#4044=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17345,#17346,#17347),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.259155701195008),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0002422904971,1.00031554517433)) REPRESENTATION_ITEM('') )!#4045=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17370,#17371,#17372),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.74293086181672),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00069458278167,1.)) REPRESENTATION_ITEM('') )!#4046=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17378,#17379,#17380),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.490115897343923,0.752387611685674), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00032455338844,1.00024911449528,1.)) REPRESENTATION_ITEM('') )!#4047=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17385,#17386,#17387),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.300066564583373,0.777972171258665), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0003808150108,1.0004936629331,1.)) REPRESENTATION_ITEM('') )!#4048=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17391,#17392,#17393),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.752387611685674),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00071464305868,1.)) REPRESENTATION_ITEM('') )!#4049=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17610,#17611,#17612),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.562937642629914,1.02408696628883), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00123727154365,1.00112541209333,1.)) REPRESENTATION_ITEM('') )!#4050=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17616,#17617,#17618),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.461149322922949),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00112541237105,1.00123727185059)) REPRESENTATION_ITEM('') )!#4051=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17622,#17623,#17624),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.577855851646455,1.04933945066788), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00130696175799,1.00118666837868,1.)) REPRESENTATION_ITEM('') )!#4052=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17628,#17629,#17630),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.471483599014461),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00118666837751,1.00130696175672)) REPRESENTATION_ITEM('') )!#4053=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17720,#17721,#17722),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.448610962964076),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00058507897718,1.00026312182556)) REPRESENTATION_ITEM('') )!#4054=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17728,#17729,#17730),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.133377368411341,0.592194975542058), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00027746635916,1.00061597475543,1.)) REPRESENTATION_ITEM('') )!#4055=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17732,#17733,#17734),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.458817607128353),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00061597476634,1.00027746636408)) REPRESENTATION_ITEM('') )!#4056=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17737,#17738,#17739),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.130137425211973,0.578748376229217), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.0002631251887,1.00058508640178,1.)) REPRESENTATION_ITEM('') )!#4057=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17804,#17805,#17806),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.743168990055793),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00118564405893,1.)) REPRESENTATION_ITEM('') )!#4058=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17808,#17809,#17810),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.7595829766083),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00124532947795,1.)) REPRESENTATION_ITEM('') )!#4059=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17814,#17815,#17816),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.743168990055793),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00118564411627,1.)) REPRESENTATION_ITEM('') )!#4060=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17819,#17820,#17821),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.759582976608299),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00124532947693,1.)) REPRESENTATION_ITEM('') )!#4061=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17871,#17872,#17873),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.743168990055793),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00118564411627,1.)) REPRESENTATION_ITEM('') )!#4062=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17875,#17876,#17877),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.759582976608299),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00124532947693,1.)) REPRESENTATION_ITEM('') )!#4063=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17882,#17883,#17884),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.743168990055793),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00118564405893,1.)) REPRESENTATION_ITEM('') )!#4064=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17888,#17889,#17890),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.7595829766083),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00124532947795,1.)) REPRESENTATION_ITEM('') )!unspreife..f.)Bsline_Curve_WITH_KNOTS(3,3,3(0372671422276,0743851342452).UNspeife.CURVE2,(#18238,#18239,#18240)#4066=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18244,#18245,#18246),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_Curve_WITH_KNOTS(3,3,3(0,372671422276,0743851344451).UNspeife#4068=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18253,#18254,#18255),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unspeified.F.F.)Bsline_CURVE_WITH_KNOTS(3,3,3(0,372671422276,07438513444552).UNspefeed.CURVE2,(#18257,#18258,#18259)#4070=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18262,#18263,#18264),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!#4071=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18267,#18268,#18269),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_CURVETH_KNOTS(3,3,3(0,372671422276,0743851342452).UNSEFE#4073=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18276,#18277,#18278),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422277),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bsline_Curveth_KNOTS(3,3,3(0,372671422277,0743851344453).UNspefeed.CURVE2,(#18279,#18280,#18281)#4075=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#18284,#18285,#18286),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!specified.bf.f.f.)ssline_Curveth_KNOTSss3,3,3,372671422276,0743851342452.UNspecifeunservie.F.)B_SPLINE_CURVED_WITH_KNOTS(3,3,3(0.41841638035061,0.83832760121)#4078=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19048,#19049,#19050),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unspeified.bf.f.f.#4080=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19057,#19058,#19059),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.f.)ssline_Curveth_Knotsss3,3,3,980350061,0.836832760122#4082=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19066,#19067,#19068),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#4083=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19071,#19072,#19073),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!4084=2,(#19074,#19075,#19076,.UNSEFEDE.F.F.)B#LINE_CURVE_WITH_KNOTS(3,3,3(0.41868035061,0.836832760122)UNSEFED)#4085=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19080,#19081,#19082),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_Curveth_KNOTS(3,3,3(0.41841638035061,0.836832760123).#4087=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19088,#19089,#19090),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.f.B.F.F.Spline_CURVE_WITH_KNOTS(3,3,3(0.41841638035061,0.836832760121).UNServie.GEEETRIC_resentation_ITEM(Rial_BSLINE_CURVE#F.I)unservie.F.B.F.F.Spline_CURVE_WITH_KNOTS(3,3,3(0.41841638035061,0.836832760121).UNServie.CURVE2,(#19115,#19116,#19117)#4090=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19121,#19122,#19123),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie2, (#19125,#19126,#19127).UNSEFEDE.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(0.41841638035061,0.836832760122).UNSEFED)#4092=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19130,#19131,#19132),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_Curveth_KNOTS(3,3,3(0.418680350061,0.836832760122).UNspeife.CURVE2,(#19134,#19135,#19136)#4094=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19139,#19140,#19141),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#4095=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19144,#19145,#19146),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.f.#4097=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19153,#19154,#19155),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!unspeified.b.f.f.#4099=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#19161,#19162,#19163),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.418416380350061),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!4100=2,(#19164,#19165,#166).UNSEFEEDE.F.F.F.SLINE_CURVE_WITH_KNOTS(3,3,3,0.4184168035061,0.836832760121).UNSEFED.CURVE#4101=VERTEX_POINT('',#14849)!#4102=VERTEX_POINT('',#14850)!#4103=VERTEX_POINT('',#14854)!#4104=VERTEX_POINT('',#14860)!#4105=VERTEX_POINT('',#14861)!#4106=VERTEX_POINT('',#14870)!#4107=VERTEX_POINT('',#14871)!#4108=VERTEX_POINT('',#14880)!#4109=VERTEX_POINT('',#14881)!#4110=VERTEX_POINT('',#14890)!#4111=VERTEX_POINT('',#14891)!#4112=VERTEX_POINT('',#14900)!#4113=VERTEX_POINT('',#14909)!#4114=VERTEX_POINT('',#14911)!#4115=VERTEX_POINT('',#14915)!#4116=VERTEX_POINT('',#14919)!#4117=VERTEX_POINT('',#14923)!#4118=VERTEX_POINT('',#14927)!#4119=VERTEX_POINT('',#14934)!#4120=VERTEX_POINT('',#14935)!#4121=VERTEX_POINT('',#14939)!#4122=VERTEX_POINT('',#14945)!#4123=VERTEX_POINT('',#14946)!#4124=VERTEX_POINT('',#14955)!#4125=VERTEX_POINT('',#14956)!#4126=VERTEX_POINT('',#14965)!#4127=VERTEX_POINT('',#14966)!#4128=VERTEX_POINT('',#14975)!#4129=VERTEX_POINT('',#14976)!#4130=VERTEX_POINT('',#14985)!#4131=VERTEX_POINT('',#14994)!#4132=VERTEX_POINT('',#14996)!#4133=VERTEX_POINT('',#15000)!#4134=VERTEX_POINT('',#15004)!#4135=VERTEX_POINT('',#15008)!#4136=VERTEX_POINT('',#15012)!#4137=VERTEX_POINT('',#15019)!#4138=VERTEX_POINT('',#15020)!#4139=VERTEX_POINT('',#15022)!#4140=VERTEX_POINT('',#15024)!#4141=VERTEX_POINT('',#15033)!#4142=VERTEX_POINT('',#15037)!#4143=VERTEX_POINT('',#15043)!#4144=VERTEX_POINT('',#15045)!#4145=VERTEX_POINT('',#15049)!#4146=VERTEX_POINT('',#15051)!#4147=VERTEX_POINT('',#15055)!#4148=VERTEX_POINT('',#15057)!#4149=VERTEX_POINT('',#15061)!#4150=VERTEX_POINT('',#15063)!#4151=VERTEX_POINT('',#15067)!#4152=VERTEX_POINT('',#15069)!#4153=VERTEX_POINT('',#15073)!#4154=VERTEX_POINT('',#15075)!#4155=VERTEX_POINT('',#15079)!#4156=VERTEX_POINT('',#15081)!#4157=VERTEX_POINT('',#15085)!#4158=VERTEX_POINT('',#15087)!#4159=VERTEX_POINT('',#15091)!#4160=VERTEX_POINT('',#15093)!#4161=VERTEX_POINT('',#15101)!#4162=VERTEX_POINT('',#15102)!#4163=VERTEX_POINT('',#15104)!#4164=VERTEX_POINT('',#15106)!#4165=VERTEX_POINT('',#15114)!#4166=VERTEX_POINT('',#15116)!#4167=VERTEX_POINT('',#15120)!#4168=VERTEX_POINT('',#15122)!#4169=VERTEX_POINT('',#15126)!#4170=VERTEX_POINT('',#15128)!#4171=VERTEX_POINT('',#15132)!#4172=VERTEX_POINT('',#15134)!#4173=VERTEX_POINT('',#15138)!#4174=VERTEX_POINT('',#15140)!#4175=VERTEX_POINT('',#15144)!#4176=VERTEX_POINT('',#15146)!#4177=VERTEX_POINT('',#15150)!#4178=VERTEX_POINT('',#15152)!#4179=VERTEX_POINT('',#15156)!#4180=VERTEX_POINT('',#15158)!#4181=VERTEX_POINT('',#15166)!#4182=VERTEX_POINT('',#15167)!#4183=VERTEX_POINT('',#15196)!#4184=VERTEX_POINT('',#15197)!#4185=VERTEX_POINT('',#15236)!#4186=VERTEX_POINT('',#15237)!#4187=VERTEX_POINT('',#15242)!#4188=VERTEX_POINT('',#15244)!#4189=VERTEX_POINT('',#15255)!#4190=VERTEX_POINT('',#15260)!#4191=VERTEX_POINT('',#15271)!#4192=VERTEX_POINT('',#15276)!#4193=VERTEX_POINT('',#15287)!#4194=VERTEX_POINT('',#15292)!#4195=VERTEX_POINT('',#15299)!#4196=VERTEX_POINT('',#15301)!#4197=VERTEX_POINT('',#15305)!#4198=VERTEX_POINT('',#15307)!#4199=VERTEX_POINT('',#15311)!#4200=VERTEX_POINT('',#15313)!#4201=VERTEX_POINT('',#15317)!#4202=VERTEX_POINT('',#15319)!#4203=VERTEX_POINT('',#15323)!#4204=VERTEX_POINT('',#15325)!#4205=VERTEX_POINT('',#15329)!#4206=VERTEX_POINT('',#15331)!#4207=VERTEX_POINT('',#15335)!#4208=VERTEX_POINT('',#15337)!#4209=VERTEX_POINT('',#15341)!#4210=VERTEX_POINT('',#15343)!#4211=VERTEX_POINT('',#15347)!#4212=VERTEX_POINT('',#15349)!#4213=VERTEX_POINT('',#15353)!#4214=VERTEX_POINT('',#15355)!#4215=VERTEX_POINT('',#15359)!#4216=VERTEX_POINT('',#15361)!#4217=VERTEX_POINT('',#15372)!#4218=VERTEX_POINT('',#15377)!#4219=VERTEX_POINT('',#15391)!#4220=VERTEX_POINT('',#15396)!#4221=VERTEX_POINT('',#15410)!#4222=VERTEX_POINT('',#15415)!#4223=VERTEX_POINT('',#15446)!#4224=VERTEX_POINT('',#15447)!#4225=VERTEX_POINT('',#15452)!#4226=VERTEX_POINT('',#15454)!#4227=VERTEX_POINT('',#15468)!#4228=VERTEX_POINT('',#15473)!#4229=VERTEX_POINT('',#15487)!#4230=VERTEX_POINT('',#15492)!#4231=VERTEX_POINT('',#15506)!#4232=VERTEX_POINT('',#15511)!#4233=VERTEX_POINT('',#15515)!#4234=VERTEX_POINT('',#15517)!#4235=VERTEX_POINT('',#15521)!#4236=VERTEX_POINT('',#15523)!#4237=VERTEX_POINT('',#15527)!#4238=VERTEX_POINT('',#15529)!#4239=VERTEX_POINT('',#15533)!#4240=VERTEX_POINT('',#15535)!#4241=VERTEX_POINT('',#15539)!#4242=VERTEX_POINT('',#15541)!#4243=VERTEX_POINT('',#15545)!#4244=VERTEX_POINT('',#15547)!#4245=VERTEX_POINT('',#15558)!#4246=VERTEX_POINT('',#15563)!#4247=VERTEX_POINT('',#15577)!#4248=VERTEX_POINT('',#15582)!#4249=VERTEX_POINT('',#15596)!#4250=VERTEX_POINT('',#15601)!#4251=VERTEX_POINT('',#15615)!#4252=VERTEX_POINT('',#15620)!#4253=VERTEX_POINT('',#15634)!#4254=VERTEX_POINT('',#15639)!#4255=VERTEX_POINT('',#15653)!#4256=VERTEX_POINT('',#15658)!#4257=VERTEX_POINT('',#15665)!#4258=VERTEX_POINT('',#15667)!#4259=VERTEX_POINT('',#15671)!#4260=VERTEX_POINT('',#15673)!#4261=VERTEX_POINT('',#15677)!#4262=VERTEX_POINT('',#15679)!#4263=VERTEX_POINT('',#15683)!#4264=VERTEX_POINT('',#15685)!#4265=VERTEX_POINT('',#15689)!#4266=VERTEX_POINT('',#15691)!#4267=VERTEX_POINT('',#15695)!#4268=VERTEX_POINT('',#15697)!#4269=VERTEX_POINT('',#15701)!#4270=VERTEX_POINT('',#15703)!#4271=VERTEX_POINT('',#15714)!#4272=VERTEX_POINT('',#15719)!#4273=VERTEX_POINT('',#15733)!#4274=VERTEX_POINT('',#15738)!#4275=VERTEX_POINT('',#15752)!#4276=VERTEX_POINT('',#15757)!#4277=VERTEX_POINT('',#15781)!#4278=VERTEX_POINT('',#15782)!#4279=VERTEX_POINT('',#15784)!#4280=VERTEX_POINT('',#15788)!#4281=VERTEX_POINT('',#15789)!#4282=VERTEX_POINT('',#15791)!#4283=VERTEX_POINT('',#15795)!#4284=VERTEX_POINT('',#15796)!#4285=VERTEX_POINT('',#15800)!#4286=VERTEX_POINT('',#15806)!#4287=VERTEX_POINT('',#15807)!#4288=VERTEX_POINT('',#15816)!#4289=VERTEX_POINT('',#15817)!#4290=VERTEX_POINT('',#15826)!#4291=VERTEX_POINT('',#15835)!#4292=VERTEX_POINT('',#15843)!#4293=VERTEX_POINT('',#15850)!#4294=VERTEX_POINT('',#15852)!#4295=VERTEX_POINT('',#15856)!#4296=VERTEX_POINT('',#15858)!#4297=VERTEX_POINT('',#15860)!#4298=VERTEX_POINT('',#15864)!#4299=VERTEX_POINT('',#15866)!#4300=VERTEX_POINT('',#15870)!#4301=VERTEX_POINT('',#15872)!#4302=VERTEX_POINT('',#15876)!#4303=VERTEX_POINT('',#15877)!#4304=VERTEX_POINT('',#15881)!#4305=VERTEX_POINT('',#15887)!#4306=VERTEX_POINT('',#15888)!#4307=VERTEX_POINT('',#15897)!#4308=VERTEX_POINT('',#15898)!#4309=VERTEX_POINT('',#15907)!#4310=VERTEX_POINT('',#15921)!#4311=VERTEX_POINT('',#15928)!#4312=VERTEX_POINT('',#15930)!#4313=VERTEX_POINT('',#15934)!#4314=VERTEX_POINT('',#15935)!#4315=VERTEX_POINT('',#15938)!#4316=VERTEX_POINT('',#15942)!#4317=VERTEX_POINT('',#15945)!#4318=VERTEX_POINT('',#15946)!#4319=VERTEX_POINT('',#15949)!#4320=VERTEX_POINT('',#15953)!#4321=VERTEX_POINT('',#15956)!#4322=VERTEX_POINT('',#15957)!#4323=VERTEX_POINT('',#15961)!#4324=VERTEX_POINT('',#15962)!#4325=VERTEX_POINT('',#15964)!#4326=VERTEX_POINT('',#15966)!#4327=VERTEX_POINT('',#15968)!#4328=VERTEX_POINT('',#15970)!#4329=VERTEX_POINT('',#15972)!#4330=VERTEX_POINT('',#15975)!#4331=VERTEX_POINT('',#15979)!#4332=VERTEX_POINT('',#15981)!#4333=VERTEX_POINT('',#15991)!#4334=VERTEX_POINT('',#15993)!#4335=VERTEX_POINT('',#15997)!#4336=VERTEX_POINT('',#15998)!#4337=VERTEX_POINT('',#16000)!#4338=VERTEX_POINT('',#16004)!#4339=VERTEX_POINT('',#16005)!#4340=VERTEX_POINT('',#16007)!#4341=VERTEX_POINT('',#16011)!#4342=VERTEX_POINT('',#16012)!#4343=VERTEX_POINT('',#16016)!#4344=VERTEX_POINT('',#16022)!#4345=VERTEX_POINT('',#16023)!#4346=VERTEX_POINT('',#16032)!#4347=VERTEX_POINT('',#16033)!#4348=VERTEX_POINT('',#16042)!#4349=VERTEX_POINT('',#16051)!#4350=VERTEX_POINT('',#16059)!#4351=VERTEX_POINT('',#16066)!#4352=VERTEX_POINT('',#16068)!#4353=VERTEX_POINT('',#16070)!#4354=VERTEX_POINT('',#16074)!#4355=VERTEX_POINT('',#16076)!#4356=VERTEX_POINT('',#16080)!#4357=VERTEX_POINT('',#16082)!#4358=VERTEX_POINT('',#16086)!#4359=VERTEX_POINT('',#16088)!#4360=VERTEX_POINT('',#16092)!#4361=VERTEX_POINT('',#16093)!#4362=VERTEX_POINT('',#16097)!#4363=VERTEX_POINT('',#16103)!#4364=VERTEX_POINT('',#16104)!#4365=VERTEX_POINT('',#16113)!#4366=VERTEX_POINT('',#16114)!#4367=VERTEX_POINT('',#16123)!#4368=VERTEX_POINT('',#16137)!#4369=VERTEX_POINT('',#16144)!#4370=VERTEX_POINT('',#16146)!#4371=VERTEX_POINT('',#16150)!#4372=VERTEX_POINT('',#16151)!#4373=VERTEX_POINT('',#16154)!#4374=VERTEX_POINT('',#16158)!#4375=VERTEX_POINT('',#16161)!#4376=VERTEX_POINT('',#16162)!#4377=VERTEX_POINT('',#16165)!#4378=VERTEX_POINT('',#16169)!#4379=VERTEX_POINT('',#16172)!#4380=VERTEX_POINT('',#16173)!#4381=VERTEX_POINT('',#16177)!#4382=VERTEX_POINT('',#16178)!#4383=VERTEX_POINT('',#16180)!#4384=VERTEX_POINT('',#16183)!#4385=VERTEX_POINT('',#16185)!#4386=VERTEX_POINT('',#16187)!#4387=VERTEX_POINT('',#16189)!#4388=VERTEX_POINT('',#16191)!#4389=VERTEX_POINT('',#16195)!#4390=VERTEX_POINT('',#16197)!#4391=VERTEX_POINT('',#16207)!#4392=VERTEX_POINT('',#16208)!#4393=VERTEX_POINT('',#16212)!#4394=VERTEX_POINT('',#16213)!#4395=VERTEX_POINT('',#16215)!#4396=VERTEX_POINT('',#16217)!#4397=VERTEX_POINT('',#16222)!#4398=VERTEX_POINT('',#16224)!#4399=VERTEX_POINT('',#16228)!#4400=VERTEX_POINT('',#16229)!#4401=VERTEX_POINT('',#16234)!#4402=VERTEX_POINT('',#16235)!#4403=VERTEX_POINT('',#16237)!#4404=VERTEX_POINT('',#16239)!#4405=VERTEX_POINT('',#16244)!#4406=VERTEX_POINT('',#16245)!#4407=VERTEX_POINT('',#16247)!#4408=VERTEX_POINT('',#16252)!#4409=VERTEX_POINT('',#16253)!#4410=VERTEX_POINT('',#16257)!#4411=VERTEX_POINT('',#16258)!#4412=VERTEX_POINT('',#16260)!#4413=VERTEX_POINT('',#16262)!#4414=VERTEX_POINT('',#16267)!#4415=VERTEX_POINT('',#16268)!#4416=VERTEX_POINT('',#16272)!#4417=VERTEX_POINT('',#16273)!#4418=VERTEX_POINT('',#16275)!#4419=VERTEX_POINT('',#16286)!#4420=VERTEX_POINT('',#16287)!#4421=VERTEX_POINT('',#16290)!#4422=VERTEX_POINT('',#16291)!#4423=VERTEX_POINT('',#16295)!#4424=VERTEX_POINT('',#16296)!#4425=VERTEX_POINT('',#16300)!#4426=VERTEX_POINT('',#16301)!#4427=VERTEX_POINT('',#16303)!#4428=VERTEX_POINT('',#16305)!#4429=VERTEX_POINT('',#16310)!#4430=VERTEX_POINT('',#16312)!#4431=VERTEX_POINT('',#16318)!#4432=VERTEX_POINT('',#16319)!#4433=VERTEX_POINT('',#16321)!#4434=VERTEX_POINT('',#16323)!#4435=VERTEX_POINT('',#16328)!#4436=VERTEX_POINT('',#16329)!#4437=VERTEX_POINT('',#16331)!#4438=VERTEX_POINT('',#16336)!#4439=VERTEX_POINT('',#16337)!#4440=VERTEX_POINT('',#16341)!#4441=VERTEX_POINT('',#16342)!#4442=VERTEX_POINT('',#16344)!#4443=VERTEX_POINT('',#16346)!#4444=VERTEX_POINT('',#16351)!#4445=VERTEX_POINT('',#16352)!#4446=VERTEX_POINT('',#16356)!#4447=VERTEX_POINT('',#16357)!#4448=VERTEX_POINT('',#16359)!#4449=VERTEX_POINT('',#16370)!#4450=VERTEX_POINT('',#16372)!#4451=VERTEX_POINT('',#16374)!#4452=VERTEX_POINT('',#16376)!#4453=VERTEX_POINT('',#16380)!#4454=VERTEX_POINT('',#16381)!#4455=VERTEX_POINT('',#16386)!#4456=VERTEX_POINT('',#16387)!#4457=VERTEX_POINT('',#16391)!#4458=VERTEX_POINT('',#16392)!#4459=VERTEX_POINT('',#16396)!#4460=VERTEX_POINT('',#16402)!#4461=VERTEX_POINT('',#16403)!#4462=VERTEX_POINT('',#16412)!#4463=VERTEX_POINT('',#16413)!#4464=VERTEX_POINT('',#16422)!#4465=VERTEX_POINT('',#16423)!#4466=VERTEX_POINT('',#16432)!#4467=VERTEX_POINT('',#16433)!#4468=VERTEX_POINT('',#16442)!#4469=VERTEX_POINT('',#16451)!#4470=VERTEX_POINT('',#16453)!#4471=VERTEX_POINT('',#16457)!#4472=VERTEX_POINT('',#16461)!#4473=VERTEX_POINT('',#16465)!#4474=VERTEX_POINT('',#16467)!#4475=VERTEX_POINT('',#16470)!#4476=VERTEX_POINT('',#16471)!#4477=VERTEX_POINT('',#16483)!#4478=VERTEX_POINT('',#16485)!#4479=VERTEX_POINT('',#16487)!#4480=VERTEX_POINT('',#16489)!#4481=VERTEX_POINT('',#16493)!#4482=VERTEX_POINT('',#16494)!#4483=VERTEX_POINT('',#16499)!#4484=VERTEX_POINT('',#16500)!#4485=VERTEX_POINT('',#16504)!#4486=VERTEX_POINT('',#16505)!#4487=VERTEX_POINT('',#16509)!#4488=VERTEX_POINT('',#16515)!#4489=VERTEX_POINT('',#16516)!#4490=VERTEX_POINT('',#16525)!#4491=VERTEX_POINT('',#16526)!#4492=VERTEX_POINT('',#16535)!#4493=VERTEX_POINT('',#16536)!#4494=VERTEX_POINT('',#16545)!#4495=VERTEX_POINT('',#16546)!#4496=VERTEX_POINT('',#16555)!#4497=VERTEX_POINT('',#16564)!#4498=VERTEX_POINT('',#16566)!#4499=VERTEX_POINT('',#16570)!#4500=VERTEX_POINT('',#16574)!#4501=VERTEX_POINT('',#16578)!#4502=VERTEX_POINT('',#16580)!#4503=VERTEX_POINT('',#16583)!#4504=VERTEX_POINT('',#16584)!#4505=VERTEX_POINT('',#16596)!#4506=VERTEX_POINT('',#16598)!#4507=VERTEX_POINT('',#16600)!#4508=VERTEX_POINT('',#16602)!#4509=VERTEX_POINT('',#16606)!#4510=VERTEX_POINT('',#16607)!#4511=VERTEX_POINT('',#16612)!#4512=VERTEX_POINT('',#16613)!#4513=VERTEX_POINT('',#16617)!#4514=VERTEX_POINT('',#16618)!#4515=VERTEX_POINT('',#16622)!#4516=VERTEX_POINT('',#16628)!#4517=VERTEX_POINT('',#16629)!#4518=VERTEX_POINT('',#16638)!#4519=VERTEX_POINT('',#16639)!#4520=VERTEX_POINT('',#16648)!#4521=VERTEX_POINT('',#16649)!#4522=VERTEX_POINT('',#16658)!#4523=VERTEX_POINT('',#16659)!#4524=VERTEX_POINT('',#16668)!#4525=VERTEX_POINT('',#16677)!#4526=VERTEX_POINT('',#16679)!#4527=VERTEX_POINT('',#16683)!#4528=VERTEX_POINT('',#16687)!#4529=VERTEX_POINT('',#16691)!#4530=VERTEX_POINT('',#16693)!#4531=VERTEX_POINT('',#16696)!#4532=VERTEX_POINT('',#16697)!#4533=VERTEX_POINT('',#16709)!#4534=VERTEX_POINT('',#16711)!#4535=VERTEX_POINT('',#16713)!#4536=VERTEX_POINT('',#16715)!#4537=VERTEX_POINT('',#16719)!#4538=VERTEX_POINT('',#16720)!#4539=VERTEX_POINT('',#16725)!#4540=VERTEX_POINT('',#16726)!#4541=VERTEX_POINT('',#16730)!#4542=VERTEX_POINT('',#16731)!#4543=VERTEX_POINT('',#16735)!#4544=VERTEX_POINT('',#16741)!#4545=VERTEX_POINT('',#16742)!#4546=VERTEX_POINT('',#16751)!#4547=VERTEX_POINT('',#16752)!#4548=VERTEX_POINT('',#16761)!#4549=VERTEX_POINT('',#16762)!#4550=VERTEX_POINT('',#16771)!#4551=VERTEX_POINT('',#16772)!#4552=VERTEX_POINT('',#16781)!#4553=VERTEX_POINT('',#16790)!#4554=VERTEX_POINT('',#16792)!#4555=VERTEX_POINT('',#16796)!#4556=VERTEX_POINT('',#16800)!#4557=VERTEX_POINT('',#16804)!#4558=VERTEX_POINT('',#16806)!#4559=VERTEX_POINT('',#16809)!#4560=VERTEX_POINT('',#16810)!#4561=VERTEX_POINT('',#16822)!#4562=VERTEX_POINT('',#16823)!#4563=VERTEX_POINT('',#16827)!#4564=VERTEX_POINT('',#16833)!#4565=VERTEX_POINT('',#16834)!#4566=VERTEX_POINT('',#16843)!#4567=VERTEX_POINT('',#16844)!#4568=VERTEX_POINT('',#16853)!#4569=VERTEX_POINT('',#16854)!#4570=VERTEX_POINT('',#16863)!#4571=VERTEX_POINT('',#16864)!#4572=VERTEX_POINT('',#16873)!#4573=VERTEX_POINT('',#16882)!#4574=VERTEX_POINT('',#16884)!#4575=VERTEX_POINT('',#16888)!#4576=VERTEX_POINT('',#16892)!#4577=VERTEX_POINT('',#16896)!#4578=VERTEX_POINT('',#16900)!#4579=VERTEX_POINT('',#16907)!#4580=VERTEX_POINT('',#16908)!#4581=VERTEX_POINT('',#16910)!#4582=VERTEX_POINT('',#16914)!#4583=VERTEX_POINT('',#16915)!#4584=VERTEX_POINT('',#16917)!#4585=VERTEX_POINT('',#16919)!#4586=VERTEX_POINT('',#16921)!#4587=VERTEX_POINT('',#16923)!#4588=VERTEX_POINT('',#16926)!#4589=VERTEX_POINT('',#16929)!#4590=VERTEX_POINT('',#16930)!#4591=VERTEX_POINT('',#16932)!#4592=VERTEX_POINT('',#16936)!#4593=VERTEX_POINT('',#16937)!#4594=VERTEX_POINT('',#16939)!#4595=VERTEX_POINT('',#16941)!#4596=VERTEX_POINT('',#16945)!#4597=VERTEX_POINT('',#16946)!#4598=VERTEX_POINT('',#16985)!#4599=VERTEX_POINT('',#16986)!#4600=VERTEX_POINT('',#16988)!#4601=VERTEX_POINT('',#16990)!#4602=VERTEX_POINT('',#16994)!#4603=VERTEX_POINT('',#16996)!#4604=VERTEX_POINT('',#17000)!#4605=VERTEX_POINT('',#17002)!#4606=VERTEX_POINT('',#17006)!#4607=VERTEX_POINT('',#17008)!#4608=VERTEX_POINT('',#17012)!#4609=VERTEX_POINT('',#17014)!#4610=VERTEX_POINT('',#17038)!#4611=VERTEX_POINT('',#17040)!#4612=VERTEX_POINT('',#17044)!#4613=VERTEX_POINT('',#17046)!#4614=VERTEX_POINT('',#17050)!#4615=VERTEX_POINT('',#17054)!#4616=VERTEX_POINT('',#17058)!#4617=VERTEX_POINT('',#17059)!#4618=VERTEX_POINT('',#17061)!#4619=VERTEX_POINT('',#17063)!#4620=VERTEX_POINT('',#17067)!#4621=VERTEX_POINT('',#17068)!#4622=VERTEX_POINT('',#17070)!#4623=VERTEX_POINT('',#17072)!#4624=VERTEX_POINT('',#17076)!#4625=VERTEX_POINT('',#17077)!#4626=VERTEX_POINT('',#17108)!#4627=VERTEX_POINT('',#17112)!#4628=VERTEX_POINT('',#17116)!#4629=VERTEX_POINT('',#17118)!#4630=VERTEX_POINT('',#17120)!#4631=VERTEX_POINT('',#17122)!#4632=VERTEX_POINT('',#17140)!#4633=VERTEX_POINT('',#17141)!#4634=VERTEX_POINT('',#17143)!#4635=VERTEX_POINT('',#17145)!#4636=VERTEX_POINT('',#17149)!#4637=VERTEX_POINT('',#17151)!#4638=VERTEX_POINT('',#17155)!#4639=VERTEX_POINT('',#17159)!#4640=VERTEX_POINT('',#17165)!#4641=VERTEX_POINT('',#17167)!#4642=VERTEX_POINT('',#17169)!#4643=VERTEX_POINT('',#17171)!#4644=VERTEX_POINT('',#17173)!#4645=VERTEX_POINT('',#17175)!#4646=VERTEX_POINT('',#17179)!#4647=VERTEX_POINT('',#17181)!#4648=VERTEX_POINT('',#17185)!#4649=VERTEX_POINT('',#17187)!#4650=VERTEX_POINT('',#17191)!#4651=VERTEX_POINT('',#17192)!#4652=VERTEX_POINT('',#17194)!#4653=VERTEX_POINT('',#17196)!#4654=VERTEX_POINT('',#17200)!#4655=VERTEX_POINT('',#17202)!#4656=VERTEX_POINT('',#17206)!#4657=VERTEX_POINT('',#17208)!#4658=VERTEX_POINT('',#17213)!#4659=VERTEX_POINT('',#17216)!#4660=VERTEX_POINT('',#17220)!#4661=VERTEX_POINT('',#17222)!#4662=VERTEX_POINT('',#17226)!#4663=VERTEX_POINT('',#17228)!#4664=VERTEX_POINT('',#17241)!#4665=VERTEX_POINT('',#17243)!#4666=VERTEX_POINT('',#17247)!#4667=VERTEX_POINT('',#17248)!#4668=VERTEX_POINT('',#17250)!#4669=VERTEX_POINT('',#17252)!#4670=VERTEX_POINT('',#17254)!#4671=VERTEX_POINT('',#17258)!#4672=VERTEX_POINT('',#17262)!#4673=VERTEX_POINT('',#17264)!#4674=VERTEX_POINT('',#17278)!#4675=VERTEX_POINT('',#17293)!#4676=VERTEX_POINT('',#17297)!#4677=VERTEX_POINT('',#17311)!#4678=VERTEX_POINT('',#17322)!#4679=VERTEX_POINT('',#17331)!#4680=VERTEX_POINT('',#17337)!#4681=VERTEX_POINT('',#17338)!#4682=VERTEX_POINT('',#17342)!#4683=VERTEX_POINT('',#17344)!#4684=VERTEX_POINT('',#17358)!#4685=VERTEX_POINT('',#17369)!#4686=VERTEX_POINT('',#17375)!#4687=VERTEX_POINT('',#17377)!#4688=VERTEX_POINT('',#17383)!#4689=VERTEX_POINT('',#17384)!#4690=VERTEX_POINT('',#17388)!#4691=VERTEX_POINT('',#17390)!#4692=VERTEX_POINT('',#17423)!#4693=VERTEX_POINT('',#17425)!#4694=VERTEX_POINT('',#17431)!#4695=VERTEX_POINT('',#17432)!#4696=VERTEX_POINT('',#17441)!#4697=VERTEX_POINT('',#17443)!#4698=VERTEX_POINT('',#17445)!#4699=VERTEX_POINT('',#17447)!#4700=VERTEX_POINT('',#17450)!#4701=VERTEX_POINT('',#17452)!#4702=VERTEX_POINT('',#17454)!#4703=VERTEX_POINT('',#17463)!#4704=VERTEX_POINT('',#17498)!#4705=VERTEX_POINT('',#17499)!#4706=VERTEX_POINT('',#17502)!#4707=VERTEX_POINT('',#17506)!#4708=VERTEX_POINT('',#17510)!#4709=VERTEX_POINT('',#17511)!#4710=VERTEX_POINT('',#17513)!#4711=VERTEX_POINT('',#17517)!#4712=VERTEX_POINT('',#17518)!#4713=VERTEX_POINT('',#17520)!#4714=VERTEX_POINT('',#17544)!#4715=VERTEX_POINT('',#17545)!#4716=VERTEX_POINT('',#17549)!#4717=VERTEX_POINT('',#17551)!#4718=VERTEX_POINT('',#17559)!#4719=VERTEX_POINT('',#17561)!#4720=VERTEX_POINT('',#17563)!#4721=VERTEX_POINT('',#17567)!#4722=VERTEX_POINT('',#17568)!#4723=VERTEX_POINT('',#17573)!#4724=VERTEX_POINT('',#17574)!#4725=VERTEX_POINT('',#17578)!#4726=VERTEX_POINT('',#17579)!#4727=VERTEX_POINT('',#17582)!#4728=VERTEX_POINT('',#17586)!#4729=VERTEX_POINT('',#17587)!#4730=VERTEX_POINT('',#17589)!#4731=VERTEX_POINT('',#17594)!#4732=VERTEX_POINT('',#17596)!#4733=VERTEX_POINT('',#17600)!#4734=VERTEX_POINT('',#17601)!#4735=VERTEX_POINT('',#17605)!#4736=VERTEX_POINT('',#17607)!#4737=VERTEX_POINT('',#17609)!#4738=VERTEX_POINT('',#17613)!#4739=VERTEX_POINT('',#17615)!#4740=VERTEX_POINT('',#17619)!#4741=VERTEX_POINT('',#17621)!#4742=VERTEX_POINT('',#17625)!#4743=VERTEX_POINT('',#17627)!#4744=VERTEX_POINT('',#17633)!#4745=VERTEX_POINT('',#17634)!#4746=VERTEX_POINT('',#17636)!#4747=VERTEX_POINT('',#17641)!#4748=VERTEX_POINT('',#17643)!#4749=VERTEX_POINT('',#17645)!#4750=VERTEX_POINT('',#17651)!#4751=VERTEX_POINT('',#17653)!#4752=VERTEX_POINT('',#17655)!#4753=VERTEX_POINT('',#17659)!#4754=VERTEX_POINT('',#17660)!#4755=VERTEX_POINT('',#17662)!#4756=VERTEX_POINT('',#17667)!#4757=VERTEX_POINT('',#17669)!#4758=VERTEX_POINT('',#17671)!#4759=VERTEX_POINT('',#17675)!#4760=VERTEX_POINT('',#17676)!#4761=VERTEX_POINT('',#17678)!#4762=VERTEX_POINT('',#17683)!#4763=VERTEX_POINT('',#17684)!#4764=VERTEX_POINT('',#17686)!#4765=VERTEX_POINT('',#17688)!#4766=VERTEX_POINT('',#17692)!#4767=VERTEX_POINT('',#17693)!#4768=VERTEX_POINT('',#17695)!#4769=VERTEX_POINT('',#17697)!#4770=VERTEX_POINT('',#17701)!#4771=VERTEX_POINT('',#17703)!#4772=VERTEX_POINT('',#17707)!#4773=VERTEX_POINT('',#17709)!#4774=VERTEX_POINT('',#17719)!#4775=VERTEX_POINT('',#17723)!#4776=VERTEX_POINT('',#17726)!#4777=VERTEX_POINT('',#17731)!#4778=VERTEX_POINT('',#17735)!#4779=VERTEX_POINT('',#17741)!#4780=VERTEX_POINT('',#17742)!#4781=VERTEX_POINT('',#17753)!#4782=VERTEX_POINT('',#17755)!#4783=VERTEX_POINT('',#17757)!#4784=VERTEX_POINT('',#17759)!#4785=VERTEX_POINT('',#17772)!#4786=VERTEX_POINT('',#17773)!#4787=VERTEX_POINT('',#17784)!#4788=VERTEX_POINT('',#17786)!#4789=VERTEX_POINT('',#17797)!#4790=VERTEX_POINT('',#17799)!#4791=VERTEX_POINT('',#17803)!#4792=VERTEX_POINT('',#17807)!#4793=VERTEX_POINT('',#17813)!#4794=VERTEX_POINT('',#17817)!#4795=VERTEX_POINT('',#17828)!#4796=VERTEX_POINT('',#17830)!#4797=VERTEX_POINT('',#17837)!#4798=VERTEX_POINT('',#17838)!#4799=VERTEX_POINT('',#17849)!#4800=VERTEX_POINT('',#17851)!#4801=VERTEX_POINT('',#17853)!#4802=VERTEX_POINT('',#17855)!#4803=VERTEX_POINT('',#17857)!#4804=VERTEX_POINT('',#17870)!#4805=VERTEX_POINT('',#17874)!#4806=VERTEX_POINT('',#17880)!#4807=VERTEX_POINT('',#17881)!#4808=VERTEX_POINT('',#17885)!#4809=VERTEX_POINT('',#17887)!#4810=VERTEX_POINT('',#17893)!#4811=VERTEX_POINT('',#17904)!#4812=VERTEX_POINT('',#17915)!#4813=VERTEX_POINT('',#17917)!#4814=VERTEX_POINT('',#17919)!#4815=VERTEX_POINT('',#17935)!#4816=VERTEX_POINT('',#17936)!#4817=VERTEX_POINT('',#17938)!#4818=VERTEX_POINT('',#17940)!#4819=VERTEX_POINT('',#17948)!#4820=VERTEX_POINT('',#17949)!#4821=VERTEX_POINT('',#17951)!#4822=VERTEX_POINT('',#17953)!#4823=VERTEX_POINT('',#17955)!#4824=VERTEX_POINT('',#17958)!#4825=VERTEX_POINT('',#17963)!#4826=VERTEX_POINT('',#17965)!#4827=VERTEX_POINT('',#17969)!#4828=VERTEX_POINT('',#17971)!#4829=VERTEX_POINT('',#17981)!#4830=VERTEX_POINT('',#17982)!#4831=VERTEX_POINT('',#17984)!#4832=VERTEX_POINT('',#17986)!#4833=VERTEX_POINT('',#17988)!#4834=VERTEX_POINT('',#17990)!#4835=VERTEX_POINT('',#18003)!#4836=VERTEX_POINT('',#18005)!#4837=VERTEX_POINT('',#18009)!#4838=VERTEX_POINT('',#18012)!#4839=VERTEX_POINT('',#18013)!#4840=VERTEX_POINT('',#18042)!#4841=VERTEX_POINT('',#18049)!#4842=VERTEX_POINT('',#18050)!#4843=VERTEX_POINT('',#18052)!#4844=VERTEX_POINT('',#18054)!#4845=VERTEX_POINT('',#18056)!#4846=VERTEX_POINT('',#18058)!#4847=VERTEX_POINT('',#18062)!#4848=VERTEX_POINT('',#18065)!#4849=VERTEX_POINT('',#18069)!#4850=VERTEX_POINT('',#18070)!#4851=VERTEX_POINT('',#18072)!#4852=VERTEX_POINT('',#18076)!#4853=VERTEX_POINT('',#18080)!#4854=VERTEX_POINT('',#18081)!#4855=VERTEX_POINT('',#18086)!#4856=VERTEX_POINT('',#18088)!#4857=VERTEX_POINT('',#18093)!#4858=VERTEX_POINT('',#18094)!#4859=VERTEX_POINT('',#18099)!#4860=VERTEX_POINT('',#18101)!#4861=VERTEX_POINT('',#18131)!#4862=VERTEX_POINT('',#18133)!#4863=VERTEX_POINT('',#18137)!#4864=VERTEX_POINT('',#18139)!#4865=VERTEX_POINT('',#18147)!#4866=VERTEX_POINT('',#18149)!#4867=VERTEX_POINT('',#18153)!#4868=VERTEX_POINT('',#18155)!#4869=VERTEX_POINT('',#18159)!#4870=VERTEX_POINT('',#18160)!#4871=VERTEX_POINT('',#18163)!#4872=VERTEX_POINT('',#18167)!#4873=VERTEX_POINT('',#18171)!#4874=VERTEX_POINT('',#18173)!#4875=VERTEX_POINT('',#18177)!#4876=VERTEX_POINT('',#18181)!#4877=VERTEX_POINT('',#18182)!#4878=VERTEX_POINT('',#18184)!#4879=VERTEX_POINT('',#18186)!#4880=VERTEX_POINT('',#18188)!#4881=VERTEX_POINT('',#18190)!#4882=VERTEX_POINT('',#18194)!#4883=VERTEX_POINT('',#18199)!#4884=VERTEX_POINT('',#18219)!#4885=VERTEX_POINT('',#18224)!#4886=VERTEX_POINT('',#18225)!#4887=VERTEX_POINT('',#18227)!#4888=VERTEX_POINT('',#18229)!#4889=VERTEX_POINT('',#18231)!#4890=VERTEX_POINT('',#18233)!#4891=VERTEX_POINT('',#18237)!#4892=VERTEX_POINT('',#18242)!#4893=VERTEX_POINT('',#18251)!#4894=VERTEX_POINT('',#18260)!#4895=VERTEX_POINT('',#18266)!#4896=VERTEX_POINT('',#18275)!#4897=VERTEX_POINT('',#18297)!#4898=VERTEX_POINT('',#18300)!#4899=VERTEX_POINT('',#18301)!#4900=VERTEX_POINT('',#18304)!#4901=VERTEX_POINT('',#18306)!#4902=VERTEX_POINT('',#18310)!#4903=VERTEX_POINT('',#18311)!#4904=VERTEX_POINT('',#18315)!#4905=VERTEX_POINT('',#18317)!#4906=VERTEX_POINT('',#18319)!#4907=VERTEX_POINT('',#18321)!#4908=VERTEX_POINT('',#18323)!#4909=VERTEX_POINT('',#18325)!#4910=VERTEX_POINT('',#18328)!#4911=VERTEX_POINT('',#18330)!#4912=VERTEX_POINT('',#18333)!#4913=VERTEX_POINT('',#18334)!#4914=VERTEX_POINT('',#18403)!#4915=VERTEX_POINT('',#18404)!#4916=VERTEX_POINT('',#18406)!#4917=VERTEX_POINT('',#18408)!#4918=VERTEX_POINT('',#18412)!#4919=VERTEX_POINT('',#18413)!#4920=VERTEX_POINT('',#18417)!#4921=VERTEX_POINT('',#18419)!#4922=VERTEX_POINT('',#18423)!#4923=VERTEX_POINT('',#18425)!#4924=VERTEX_POINT('',#18429)!#4925=VERTEX_POINT('',#18430)!#4926=VERTEX_POINT('',#18432)!#4927=VERTEX_POINT('',#18434)!#4928=VERTEX_POINT('',#18438)!#4929=VERTEX_POINT('',#18440)!#4930=VERTEX_POINT('',#18444)!#4931=VERTEX_POINT('',#18446)!#4932=VERTEX_POINT('',#18450)!#4933=VERTEX_POINT('',#18452)!#4934=VERTEX_POINT('',#18456)!#4935=VERTEX_POINT('',#18458)!#4936=VERTEX_POINT('',#18462)!#4937=VERTEX_POINT('',#18463)!#4938=VERTEX_POINT('',#18466)!#4939=VERTEX_POINT('',#18468)!#4940=VERTEX_POINT('',#18471)!#4941=VERTEX_POINT('',#18472)!#4942=VERTEX_POINT('',#18474)!#4943=VERTEX_POINT('',#18476)!#4944=VERTEX_POINT('',#18478)!#4945=VERTEX_POINT('',#18480)!#4946=VERTEX_POINT('',#18483)!#4947=VERTEX_POINT('',#18489)!#4948=VERTEX_POINT('',#18491)!#4949=VERTEX_POINT('',#18495)!#4950=VERTEX_POINT('',#18497)!#4951=VERTEX_POINT('',#18501)!#4952=VERTEX_POINT('',#18503)!#4953=VERTEX_POINT('',#18507)!#4954=VERTEX_POINT('',#18508)!#4955=VERTEX_POINT('',#18510)!#4956=VERTEX_POINT('',#18512)!#4957=VERTEX_POINT('',#18516)!#4958=VERTEX_POINT('',#18517)!#4959=VERTEX_POINT('',#18519)!#4960=VERTEX_POINT('',#18521)!#4961=VERTEX_POINT('',#18525)!#4962=VERTEX_POINT('',#18527)!#4963=VERTEX_POINT('',#18537)!#4964=VERTEX_POINT('',#18538)!#4965=VERTEX_POINT('',#18541)!#4966=VERTEX_POINT('',#18543)!#4967=VERTEX_POINT('',#18545)!#4968=VERTEX_POINT('',#18547)!#4969=VERTEX_POINT('',#18549)!#4970=VERTEX_POINT('',#18551)!#4971=VERTEX_POINT('',#18553)!#4972=VERTEX_POINT('',#18555)!#4973=VERTEX_POINT('',#18559)!#4974=VERTEX_POINT('',#18563)!#4975=VERTEX_POINT('',#18565)!#4976=VERTEX_POINT('',#18576)!#4977=VERTEX_POINT('',#18589)!#4978=VERTEX_POINT('',#18591)!#4979=VERTEX_POINT('',#18593)!#4980=VERTEX_POINT('',#18595)!#4981=VERTEX_POINT('',#18597)!#4982=VERTEX_POINT('',#18599)!#4983=VERTEX_POINT('',#18603)!#4984=VERTEX_POINT('',#18605)!#4985=VERTEX_POINT('',#18609)!#4986=VERTEX_POINT('',#18611)!#4987=VERTEX_POINT('',#18622)!#4988=VERTEX_POINT('',#18624)!#4989=VERTEX_POINT('',#18639)!#4990=VERTEX_POINT('',#18640)!#4991=VERTEX_POINT('',#18643)!#4992=VERTEX_POINT('',#18644)!#4993=VERTEX_POINT('',#18648)!#4994=VERTEX_POINT('',#18650)!#4995=VERTEX_POINT('',#18654)!#4996=VERTEX_POINT('',#18655)!#4997=VERTEX_POINT('',#18659)!#4998=VERTEX_POINT('',#18661)!#4999=VERTEX_POINT('',#18674)!#5000=VERTEX_POINT('',#18712)!#5001=VERTEX_POINT('',#18717)!#5002=VERTEX_POINT('',#18723)!#5003=VERTEX_POINT('',#18725)!#5004=VERTEX_POINT('',#18727)!#5005=VERTEX_POINT('',#18731)!#5006=VERTEX_POINT('',#18732)!#5007=VERTEX_POINT('',#18738)!#5008=VERTEX_POINT('',#18742)!#5009=VERTEX_POINT('',#18746)!#5010=VERTEX_POINT('',#18747)!#5011=VERTEX_POINT('',#18749)!#5012=VERTEX_POINT('',#18751)!#5013=VERTEX_POINT('',#18753)!#5014=VERTEX_POINT('',#18755)!#5015=VERTEX_POINT('',#18765)!#5016=VERTEX_POINT('',#18768)!#5017=VERTEX_POINT('',#18772)!#5018=VERTEX_POINT('',#18778)!#5019=VERTEX_POINT('',#18785)!#5020=VERTEX_POINT('',#18786)!#5021=VERTEX_POINT('',#18788)!#5022=VERTEX_POINT('',#18790)!#5023=VERTEX_POINT('',#18792)!#5024=VERTEX_POINT('',#18794)!#5025=VERTEX_POINT('',#18796)!#5026=VERTEX_POINT('',#18798)!#5027=VERTEX_POINT('',#18802)!#5028=VERTEX_POINT('',#18803)!#5029=VERTEX_POINT('',#18805)!#5030=VERTEX_POINT('',#18807)!#5031=VERTEX_POINT('',#18813)!#5032=VERTEX_POINT('',#18815)!#5033=VERTEX_POINT('',#18818)!#5034=VERTEX_POINT('',#18820)!#5035=VERTEX_POINT('',#18824)!#5036=VERTEX_POINT('',#18826)!#5037=VERTEX_POINT('',#18896)!#5038=VERTEX_POINT('',#18898)!#5039=VERTEX_POINT('',#18900)!#5040=VERTEX_POINT('',#18904)!#5041=VERTEX_POINT('',#18905)!#5042=VERTEX_POINT('',#18908)!#5043=VERTEX_POINT('',#18912)!#5044=VERTEX_POINT('',#18914)!#5045=VERTEX_POINT('',#18918)!#5046=VERTEX_POINT('',#18919)!#5047=VERTEX_POINT('',#18923)!#5048=VERTEX_POINT('',#18925)!#5049=VERTEX_POINT('',#18927)!#5050=VERTEX_POINT('',#18929)!#5051=VERTEX_POINT('',#18931)!#5052=VERTEX_POINT('',#18933)!#5053=VERTEX_POINT('',#18935)!#5054=VERTEX_POINT('',#18937)!#5055=VERTEX_POINT('',#18939)!#5056=VERTEX_POINT('',#18943)!#5057=VERTEX_POINT('',#18947)!#5058=VERTEX_POINT('',#18951)!#5059=VERTEX_POINT('',#18955)!#5060=VERTEX_POINT('',#18959)!#5061=VERTEX_POINT('',#18962)!#5062=VERTEX_POINT('',#18965)!#5063=VERTEX_POINT('',#18968)!#5064=VERTEX_POINT('',#18969)!#5065=VERTEX_POINT('',#18972)!#5066=VERTEX_POINT('',#18974)!#5067=VERTEX_POINT('',#18979)!#5068=VERTEX_POINT('',#18981)!#5069=VERTEX_POINT('',#18985)!#5070=VERTEX_POINT('',#18989)!#5071=VERTEX_POINT('',#18991)!#5072=VERTEX_POINT('',#18995)!#5073=VERTEX_POINT('',#18999)!#5074=VERTEX_POINT('',#19001)!#5075=VERTEX_POINT('',#19005)!#5076=VERTEX_POINT('',#19009)!#5077=VERTEX_POINT('',#19011)!#5078=VERTEX_POINT('',#19015)!#5079=VERTEX_POINT('',#19028)!#5080=VERTEX_POINT('',#19029)!#5081=VERTEX_POINT('',#19031)!#5082=VERTEX_POINT('',#19033)!#5083=VERTEX_POINT('',#19035)!#5084=VERTEX_POINT('',#19037)!#5085=VERTEX_POINT('',#19041)!#5086=VERTEX_POINT('',#19046)!#5087=VERTEX_POINT('',#19055)!#5088=VERTEX_POINT('',#19064)!#5089=VERTEX_POINT('',#19070)!#5090=VERTEX_POINT('',#19079)!#5091=VERTEX_POINT('',#19101)!#5092=VERTEX_POINT('',#19102)!#5093=VERTEX_POINT('',#19104)!#5094=VERTEX_POINT('',#19106)!#5095=VERTEX_POINT('',#19108)!#5096=VERTEX_POINT('',#19110)!#5097=VERTEX_POINT('',#19114)!#5098=VERTEX_POINT('',#19119)!#5099=VERTEX_POINT('',#19128)!#5100=VERTEX_POINT('',#19137)!#5101=VERTEX_POINT('',#19143)!#5102=VERTEX_POINT('',#19152)!#5103=EDGE_CURVE('',#4101,#4102,#3921,.T.)!#5104=EDGE_CURVE('',#4103,#4101,#3393,.T.)!#5105=EDGE_CURVE('',#4102,#4103,#3922,.T.)!#5106=EDGE_CURVE('',#4104,#4105,#3923,.T.)!#5107=EDGE_CURVE('',#4103,#4104,#3924,.T.)!#5108=EDGE_CURVE('',#4105,#4103,#3394,.T.)!#5109=EDGE_CURVE('',#4106,#4107,#3925,.T.)!#5110=EDGE_CURVE('',#4101,#4106,#3395,.T.)!#5111=EDGE_CURVE('',#4107,#4101,#3926,.T.)!#5112=EDGE_CURVE('',#4108,#4109,#3927,.T.)!#5113=EDGE_CURVE('',#4105,#4108,#3928,.T.)!#5114=EDGE_CURVE('',#4109,#4105,#3396,.T.)!#5115=EDGE_CURVE('',#4110,#4111,#3929,.T.)!#5116=EDGE_CURVE('',#4106,#4110,#3397,.T.)!#5117=EDGE_CURVE('',#4111,#4106,#3930,.T.)!#5118=EDGE_CURVE('',#4112,#4110,#3931,.T.)!#5119=EDGE_CURVE('',#4109,#4112,#3932,.T.)!#5120=EDGE_CURVE('',#4110,#4109,#3398,.T.)!#5121=EDGE_CURVE('',#4113,#4111,#321,.T.)!#5122=EDGE_CURVE('',#4114,#4113,#322,.T.)!#5123=EDGE_CURVE('',#4114,#4112,#323,.T.)!#5124=EDGE_CURVE('',#4115,#4107,#324,.T.)!#5125=EDGE_CURVE('',#4113,#4115,#325,.T.)!#5126=EDGE_CURVE('',#4116,#4102,#326,.T.)!#5127=EDGE_CURVE('',#4115,#4116,#327,.T.)!#5128=EDGE_CURVE('',#4117,#4104,#328,.T.)!#5129=EDGE_CURVE('',#4116,#4117,#329,.T.)!#5130=EDGE_CURVE('',#4118,#4108,#330,.T.)!#5131=EDGE_CURVE('',#4117,#4118,#331,.T.)!#5132=EDGE_CURVE('',#4118,#4114,#332,.T.)!#5133=EDGE_CURVE('',#4119,#4120,#3933,.T.)!#5134=EDGE_CURVE('',#4121,#4119,#3399,.T.)!#5135=EDGE_CURVE('',#4120,#4121,#3934,.T.)!#5136=EDGE_CURVE('',#4122,#4123,#3935,.T.)!#5137=EDGE_CURVE('',#4121,#4122,#3936,.T.)!#5138=EDGE_CURVE('',#4123,#4121,#3400,.T.)!#5139=EDGE_CURVE('',#4124,#4125,#3937,.T.)!#5140=EDGE_CURVE('',#4119,#4124,#3401,.T.)!#5141=EDGE_CURVE('',#4125,#4119,#3938,.T.)!#5142=EDGE_CURVE('',#4126,#4127,#3939,.T.)!#5143=EDGE_CURVE('',#4123,#4126,#3940,.T.)!#5144=EDGE_CURVE('',#4127,#4123,#3402,.T.)!#5145=EDGE_CURVE('',#4128,#4129,#3941,.T.)!#5146=EDGE_CURVE('',#4124,#4128,#3403,.T.)!#5147=EDGE_CURVE('',#4129,#4124,#3942,.T.)!#5148=EDGE_CURVE('',#4130,#4128,#3943,.T.)!#5149=EDGE_CURVE('',#4127,#4130,#3944,.T.)!#5150=EDGE_CURVE('',#4128,#4127,#3404,.T.)!#5151=EDGE_CURVE('',#4131,#4129,#333,.T.)!#5152=EDGE_CURVE('',#4132,#4131,#334,.T.)!#5153=EDGE_CURVE('',#4132,#4130,#335,.T.)!#5154=EDGE_CURVE('',#4133,#4125,#336,.T.)!#5155=EDGE_CURVE('',#4131,#4133,#337,.T.)!#5156=EDGE_CURVE('',#4134,#4120,#338,.T.)!#5157=EDGE_CURVE('',#4133,#4134,#339,.T.)!#5158=EDGE_CURVE('',#4135,#4122,#340,.T.)!#5159=EDGE_CURVE('',#4134,#4135,#341,.T.)!#5160=EDGE_CURVE('',#4136,#4126,#342,.T.)!#5161=EDGE_CURVE('',#4135,#4136,#343,.T.)!#5162=EDGE_CURVE('',#4136,#4132,#344,.T.)!#5163=EDGE_CURVE('',#4137,#4138,#345,.T.)!#5164=EDGE_CURVE('',#4139,#4137,#346,.T.)!#5165=EDGE_CURVE('',#4140,#4139,#347,.T.)!#5166=EDGE_CURVE('',#4140,#4138,#348,.T.)!#5167=EDGE_CURVE('',#4141,#4137,#216,.T.)!#5168=EDGE_CURVE('',#4142,#4141,#349,.T.)!#5169=EDGE_CURVE('',#4139,#4142,#217,.T.)!#5170=EDGE_CURVE('',#4143,#4141,#350,.T.)!#5171=EDGE_CURVE('',#4144,#4143,#351,.T.)!#5172=EDGE_CURVE('',#4142,#4144,#352,.T.)!#5173=EDGE_CURVE('',#4145,#4143,#353,.T.)!#5174=EDGE_CURVE('',#4146,#4145,#354,.T.)!#5175=EDGE_CURVE('',#4144,#4146,#355,.T.)!#5176=EDGE_CURVE('',#4147,#4145,#356,.T.)!#5177=EDGE_CURVE('',#4148,#4147,#357,.T.)!#5178=EDGE_CURVE('',#4146,#4148,#358,.T.)!#5179=EDGE_CURVE('',#4149,#4147,#359,.T.)!#5180=EDGE_CURVE('',#4150,#4149,#360,.T.)!#5181=EDGE_CURVE('',#4148,#4150,#361,.T.)!#5182=EDGE_CURVE('',#4151,#4149,#362,.T.)!#5183=EDGE_CURVE('',#4152,#4151,#363,.T.)!#5184=EDGE_CURVE('',#4150,#4152,#364,.T.)!#5185=EDGE_CURVE('',#4153,#4151,#365,.T.)!#5186=EDGE_CURVE('',#4154,#4153,#366,.T.)!#5187=EDGE_CURVE('',#4152,#4154,#367,.T.)!#5188=EDGE_CURVE('',#4155,#4153,#368,.T.)!#5189=EDGE_CURVE('',#4156,#4155,#369,.T.)!#5190=EDGE_CURVE('',#4154,#4156,#370,.T.)!#5191=EDGE_CURVE('',#4157,#4155,#371,.T.)!#5192=EDGE_CURVE('',#4158,#4157,#372,.T.)!#5193=EDGE_CURVE('',#4156,#4158,#373,.T.)!#5194=EDGE_CURVE('',#4159,#4157,#374,.T.)!#5195=EDGE_CURVE('',#4160,#4159,#375,.T.)!#5196=EDGE_CURVE('',#4158,#4160,#376,.T.)!#5197=EDGE_CURVE('',#4138,#4159,#377,.T.)!#5198=EDGE_CURVE('',#4160,#4140,#378,.T.)!#5199=EDGE_CURVE('',#4161,#4162,#379,.T.)!#5200=EDGE_CURVE('',#4163,#4161,#380,.T.)!#5201=EDGE_CURVE('',#4163,#4164,#381,.T.)!#5202=EDGE_CURVE('',#4164,#4162,#382,.T.)!#5203=EDGE_CURVE('',#4159,#4161,#383,.T.)!#5204=EDGE_CURVE('',#4160,#4159,#384,.T.)!#5205=EDGE_CURVE('',#4160,#4163,#385,.T.)!#5206=EDGE_CURVE('',#4165,#4159,#386,.T.)!#5207=EDGE_CURVE('',#4166,#4165,#387,.T.)!#5208=EDGE_CURVE('',#4166,#4160,#388,.T.)!#5209=EDGE_CURVE('',#4167,#4165,#389,.T.)!#5210=EDGE_CURVE('',#4168,#4167,#390,.T.)!#5211=EDGE_CURVE('',#4168,#4166,#391,.T.)!#5212=EDGE_CURVE('',#4169,#4167,#392,.T.)!#5213=EDGE_CURVE('',#4170,#4169,#393,.T.)!#5214=EDGE_CURVE('',#4170,#4168,#394,.T.)!#5215=EDGE_CURVE('',#4171,#4169,#395,.T.)!#5216=EDGE_CURVE('',#4172,#4171,#396,.T.)!#5217=EDGE_CURVE('',#4172,#4170,#397,.T.)!#5218=EDGE_CURVE('',#4173,#4171,#398,.T.)!#5219=EDGE_CURVE('',#4174,#4173,#399,.T.)!#5220=EDGE_CURVE('',#4174,#4172,#400,.T.)!#5221=EDGE_CURVE('',#4175,#4173,#401,.T.)!#5222=EDGE_CURVE('',#4176,#4175,#402,.T.)!#5223=EDGE_CURVE('',#4176,#4174,#403,.T.)!#5224=EDGE_CURVE('',#4177,#4175,#404,.T.)!#5225=EDGE_CURVE('',#4178,#4177,#405,.T.)!#5226=EDGE_CURVE('',#4178,#4176,#406,.T.)!#5227=EDGE_CURVE('',#4179,#4177,#407,.T.)!#5228=EDGE_CURVE('',#4180,#4179,#408,.T.)!#5229=EDGE_CURVE('',#4180,#4178,#409,.T.)!#5230=EDGE_CURVE('',#4162,#4179,#410,.T.)!#5231=EDGE_CURVE('',#4164,#4180,#411,.T.)!#5232=EDGE_CURVE('',#4181,#4182,#218,.T.)!#5233=EDGE_CURVE('',#4182,#4181,#219,.T.)!#5234=EDGE_CURVE('',#4183,#4184,#220,.T.)!#5235=EDGE_CURVE('',#4181,#4183,#412,.T.)!#5236=EDGE_CURVE('',#4182,#4184,#413,.T.)!#5237=EDGE_CURVE('',#4184,#4183,#221,.T.)!#5238=EDGE_CURVE('',#4185,#4186,#222,.T.)!#5239=EDGE_CURVE('',#4187,#4185,#414,.T.)!#5240=EDGE_CURVE('',#4188,#4187,#213,.T.)!#5241=EDGE_CURVE('',#4188,#4186,#415,.T.)!#5242=EDGE_CURVE('',#4189,#4185,#223,.T.)!#5243=EDGE_CURVE('',#4190,#4189,#416,.T.)!#5244=EDGE_CURVE('',#4187,#4190,#214,.T.)!#5245=EDGE_CURVE('',#4191,#4189,#224,.T.)!#5246=EDGE_CURVE('',#4192,#4191,#417,.T.)!#5247=EDGE_CURVE('',#4190,#4192,#3405,.T.)!#5248=EDGE_CURVE('',#4193,#4191,#225,.T.)!#5249=EDGE_CURVE('',#4194,#4193,#418,.T.)!#5250=EDGE_CURVE('',#4192,#4194,#226,.T.)!#5251=EDGE_CURVE('',#4195,#4193,#419,.T.)!#5252=EDGE_CURVE('',#4196,#4195,#420,.T.)!#5253=EDGE_CURVE('',#4194,#4196,#421,.T.)!#5254=EDGE_CURVE('',#4197,#4195,#422,.T.)!#5255=EDGE_CURVE('',#4198,#4197,#423,.T.)!#5256=EDGE_CURVE('',#4196,#4198,#424,.T.)!#5257=EDGE_CURVE('',#4199,#4197,#425,.T.)!#5258=EDGE_CURVE('',#4200,#4199,#426,.T.)!#5259=EDGE_CURVE('',#4198,#4200,#427,.T.)!#5260=EDGE_CURVE('',#4201,#4199,#428,.T.)!#5261=EDGE_CURVE('',#4202,#4201,#429,.T.)!#5262=EDGE_CURVE('',#4200,#4202,#430,.T.)!#5263=EDGE_CURVE('',#4203,#4201,#431,.T.)!#5264=EDGE_CURVE('',#4204,#4203,#432,.T.)!#5265=EDGE_CURVE('',#4202,#4204,#433,.T.)!#5266=EDGE_CURVE('',#4205,#4203,#434,.T.)!#5267=EDGE_CURVE('',#4206,#4205,#435,.T.)!#5268=EDGE_CURVE('',#4204,#4206,#436,.T.)!#5269=EDGE_CURVE('',#4207,#4205,#437,.T.)!#5270=EDGE_CURVE('',#4208,#4207,#438,.T.)!#5271=EDGE_CURVE('',#4206,#4208,#439,.T.)!#5272=EDGE_CURVE('',#4209,#4207,#440,.T.)!#5273=EDGE_CURVE('',#4210,#4209,#441,.T.)!#5274=EDGE_CURVE('',#4208,#4210,#442,.T.)!#5275=EDGE_CURVE('',#4211,#4209,#443,.T.)!#5276=EDGE_CURVE('',#4212,#4211,#444,.T.)!#5277=EDGE_CURVE('',#4210,#4212,#445,.T.)!#5278=EDGE_CURVE('',#4213,#4211,#446,.T.)!#5279=EDGE_CURVE('',#4214,#4213,#447,.T.)!#5280=EDGE_CURVE('',#4212,#4214,#448,.T.)!#5281=EDGE_CURVE('',#4215,#4213,#449,.T.)!#5282=EDGE_CURVE('',#4216,#4215,#450,.T.)!#5283=EDGE_CURVE('',#4214,#4216,#451,.T.)!#5284=EDGE_CURVE('',#4217,#4215,#227,.T.)!#5285=EDGE_CURVE('',#4218,#4217,#452,.T.)!#5286=EDGE_CURVE('',#4216,#4218,#228,.T.)!#5287=EDGE_CURVE('',#4219,#4217,#229,.T.)!#5288=EDGE_CURVE('',#4220,#4219,#453,.T.)!#5289=EDGE_CURVE('',#4218,#4220,#230,.T.)!#5290=EDGE_CURVE('',#4221,#4219,#231,.T.)!#5291=EDGE_CURVE('',#4222,#4221,#454,.T.)!#5292=EDGE_CURVE('',#4220,#4222,#232,.T.)!#5293=EDGE_CURVE('',#4186,#4221,#233,.T.)!#5294=EDGE_CURVE('',#4222,#4188,#234,.T.)!#5295=EDGE_CURVE('',#4223,#4224,#235,.T.)!#5296=EDGE_CURVE('',#4225,#4223,#455,.T.)!#5297=EDGE_CURVE('',#4226,#4225,#236,.T.)!#5298=EDGE_CURVE('',#4226,#4224,#456,.T.)!#5299=EDGE_CURVE('',#4227,#4223,#237,.T.)!#5300=EDGE_CURVE('',#4228,#4227,#457,.T.)!#5301=EDGE_CURVE('',#4225,#4228,#238,.T.)!#5302=EDGE_CURVE('',#4229,#4227,#239,.T.)!#5303=EDGE_CURVE('',#4230,#4229,#458,.T.)!#5304=EDGE_CURVE('',#4228,#4230,#240,.T.)!#5305=EDGE_CURVE('',#4231,#4229,#241,.T.)!#5306=EDGE_CURVE('',#4232,#4231,#459,.T.)!#5307=EDGE_CURVE('',#4230,#4232,#215,.T.)!#5308=EDGE_CURVE('',#4233,#4231,#460,.T.)!#5309=EDGE_CURVE('',#4234,#4233,#461,.T.)!#5310=EDGE_CURVE('',#4232,#4234,#462,.T.)!#5311=EDGE_CURVE('',#4235,#4233,#463,.T.)!#5312=EDGE_CURVE('',#4236,#4235,#464,.T.)!#5313=EDGE_CURVE('',#4234,#4236,#465,.T.)!#5314=EDGE_CURVE('',#4237,#4235,#466,.T.)!#5315=EDGE_CURVE('',#4238,#4237,#467,.T.)!#5316=EDGE_CURVE('',#4236,#4238,#468,.T.)!#5317=EDGE_CURVE('',#4239,#4237,#469,.T.)!#5318=EDGE_CURVE('',#4240,#4239,#470,.T.)!#5319=EDGE_CURVE('',#4238,#4240,#471,.T.)!#5320=EDGE_CURVE('',#4241,#4239,#472,.T.)!#5321=EDGE_CURVE('',#4242,#4241,#473,.T.)!#5322=EDGE_CURVE('',#4240,#4242,#474,.T.)!#5323=EDGE_CURVE('',#4243,#4241,#475,.T.)!#5324=EDGE_CURVE('',#4244,#4243,#476,.T.)!#5325=EDGE_CURVE('',#4242,#4244,#477,.T.)!#5326=EDGE_CURVE('',#4245,#4243,#242,.T.)!#5327=EDGE_CURVE('',#4246,#4245,#478,.T.)!#5328=EDGE_CURVE('',#4244,#4246,#243,.T.)!#5329=EDGE_CURVE('',#4247,#4245,#244,.T.)!#5330=EDGE_CURVE('',#4248,#4247,#479,.T.)!#5331=EDGE_CURVE('',#4246,#4248,#245,.T.)!#5332=EDGE_CURVE('',#4249,#4247,#246,.T.)!#5333=EDGE_CURVE('',#4250,#4249,#480,.T.)!#5334=EDGE_CURVE('',#4248,#4250,#247,.T.)!#5335=EDGE_CURVE('',#4251,#4249,#248,.T.)!#5336=EDGE_CURVE('',#4252,#4251,#481,.T.)!#5337=EDGE_CURVE('',#4250,#4252,#249,.T.)!#5338=EDGE_CURVE('',#4253,#4251,#250,.T.)!#5339=EDGE_CURVE('',#4254,#4253,#482,.T.)!#5340=EDGE_CURVE('',#4252,#4254,#251,.T.)!#5341=EDGE_CURVE('',#4255,#4253,#252,.T.)!#5342=EDGE_CURVE('',#4256,#4255,#483,.T.)!#5343=EDGE_CURVE('',#4254,#4256,#253,.T.)!#5344=EDGE_CURVE('',#4257,#4255,#484,.T.)!#5345=EDGE_CURVE('',#4258,#4257,#485,.T.)!#5346=EDGE_CURVE('',#4256,#4258,#486,.T.)!#5347=EDGE_CURVE('',#4259,#4257,#487,.T.)!#5348=EDGE_CURVE('',#4260,#4259,#488,.T.)!#5349=EDGE_CURVE('',#4258,#4260,#489,.T.)!#5350=EDGE_CURVE('',#4261,#4259,#490,.T.)!#5351=EDGE_CURVE('',#4262,#4261,#491,.T.)!#5352=EDGE_CURVE('',#4260,#4262,#492,.T.)!#5353=EDGE_CURVE('',#4263,#4261,#493,.T.)!#5354=EDGE_CURVE('',#4264,#4263,#494,.T.)!#5355=EDGE_CURVE('',#4262,#4264,#495,.T.)!#5356=EDGE_CURVE('',#4265,#4263,#496,.T.)!#5357=EDGE_CURVE('',#4266,#4265,#497,.T.)!#5358=EDGE_CURVE('',#4264,#4266,#498,.T.)!#5359=EDGE_CURVE('',#4267,#4265,#499,.T.)!#5360=EDGE_CURVE('',#4268,#4267,#500,.T.)!#5361=EDGE_CURVE('',#4266,#4268,#501,.T.)!#5362=EDGE_CURVE('',#4269,#4267,#502,.T.)!#5363=EDGE_CURVE('',#4270,#4269,#503,.T.)!#5364=EDGE_CURVE('',#4268,#4270,#504,.T.)!#5365=EDGE_CURVE('',#4271,#4269,#254,.T.)!#5366=EDGE_CURVE('',#4272,#4271,#505,.T.)!#5367=EDGE_CURVE('',#4270,#4272,#255,.T.)!#5368=EDGE_CURVE('',#4273,#4271,#256,.T.)!#5369=EDGE_CURVE('',#4274,#4273,#506,.T.)!#5370=EDGE_CURVE('',#4272,#4274,#257,.T.)!#5371=EDGE_CURVE('',#4275,#4273,#258,.T.)!#5372=EDGE_CURVE('',#4276,#4275,#507,.T.)!#5373=EDGE_CURVE('',#4274,#4276,#259,.T.)!#5374=EDGE_CURVE('',#4224,#4275,#260,.T.)!#5375=EDGE_CURVE('',#4276,#4226,#261,.T.)!#5376=EDGE_CURVE('',#4277,#4278,#508,.T.)!#5377=EDGE_CURVE('',#4279,#4277,#509,.T.)!#5378=EDGE_CURVE('',#4278,#4279,#510,.T.)!#5379=EDGE_CURVE('',#4280,#4281,#511,.T.)!#5380=EDGE_CURVE('',#4282,#4280,#512,.T.)!#5381=EDGE_CURVE('',#4281,#4282,#513,.T.)!#5382=EDGE_CURVE('',#4283,#4284,#3945,.T.)!#5383=EDGE_CURVE('',#4285,#4283,#3406,.T.)!#5384=EDGE_CURVE('',#4284,#4285,#3946,.T.)!#5385=EDGE_CURVE('',#4286,#4287,#3947,.T.)!#5386=EDGE_CURVE('',#4285,#4286,#3948,.T.)!#5387=EDGE_CURVE('',#4287,#4285,#3407,.T.)!#5388=EDGE_CURVE('',#4288,#4289,#3949,.T.)!#5389=EDGE_CURVE('',#4283,#4288,#3408,.T.)!#5390=EDGE_CURVE('',#4289,#4283,#3950,.T.)!#5391=EDGE_CURVE('',#4287,#4290,#3951,.T.)!#5392=EDGE_CURVE('',#4288,#4287,#3409,.T.)!#5393=EDGE_CURVE('',#4290,#4288,#3952,.T.)!#5394=EDGE_CURVE('',#4291,#4289,#514,.T.)!#5395=EDGE_CURVE('',#4278,#4291,#515,.T.)!#5396=EDGE_CURVE('',#4279,#4290,#516,.T.)!#5397=EDGE_CURVE('',#4282,#4284,#517,.T.)!#5398=EDGE_CURVE('',#4291,#4280,#518,.T.)!#5399=EDGE_CURVE('',#4292,#4277,#519,.T.)!#5400=EDGE_CURVE('',#4292,#4286,#520,.T.)!#5401=EDGE_CURVE('',#4281,#4292,#521,.T.)!#5402=EDGE_CURVE('',#4293,#4280,#522,.T.)!#5403=EDGE_CURVE('',#4294,#4293,#523,.T.)!#5404=EDGE_CURVE('',#4278,#4294,#524,.T.)!#5405=EDGE_CURVE('',#4295,#4277,#525,.T.)!#5406=EDGE_CURVE('',#4295,#4296,#526,.T.)!#5407=EDGE_CURVE('',#4296,#4297,#527,.T.)!#5408=EDGE_CURVE('',#4281,#4297,#528,.T.)!#5409=EDGE_CURVE('',#4298,#4295,#529,.T.)!#5410=EDGE_CURVE('',#4299,#4298,#530,.T.)!#5411=EDGE_CURVE('',#4295,#4299,#531,.T.)!#5412=EDGE_CURVE('',#4297,#4300,#532,.T.)!#5413=EDGE_CURVE('',#4301,#4297,#533,.T.)!#5414=EDGE_CURVE('',#4300,#4301,#534,.T.)!#5415=EDGE_CURVE('',#4302,#4303,#3953,.T.)!#5416=EDGE_CURVE('',#4304,#4302,#3410,.T.)!#5417=EDGE_CURVE('',#4303,#4304,#3954,.T.)!#5418=EDGE_CURVE('',#4305,#4306,#3955,.T.)!#5419=EDGE_CURVE('',#4304,#4305,#3956,.T.)!#5420=EDGE_CURVE('',#4306,#4304,#3411,.T.)!#5421=EDGE_CURVE('',#4307,#4308,#3957,.T.)!#5422=EDGE_CURVE('',#4302,#4307,#3412,.T.)!#5423=EDGE_CURVE('',#4308,#4302,#3958,.T.)!#5424=EDGE_CURVE('',#4306,#4309,#3959,.T.)!#5425=EDGE_CURVE('',#4307,#4306,#3413,.T.)!#5426=EDGE_CURVE('',#4309,#4307,#3960,.T.)!#5427=EDGE_CURVE('',#4296,#4308,#535,.T.)!#5428=EDGE_CURVE('',#4299,#4309,#536,.T.)!#5429=EDGE_CURVE('',#4301,#4303,#537,.T.)!#5430=EDGE_CURVE('',#4310,#4298,#538,.T.)!#5431=EDGE_CURVE('',#4310,#4305,#539,.T.)!#5432=EDGE_CURVE('',#4300,#4310,#540,.T.)!#5433=EDGE_CURVE('',#4311,#4298,#541,.T.)!#5434=EDGE_CURVE('',#4311,#4312,#542,.T.)!#5435=EDGE_CURVE('',#4300,#4312,#543,.T.)!#5436=EDGE_CURVE('',#4313,#4314,#3414,.T.)!#5437=EDGE_CURVE('',#4314,#4313,#3415,.T.)!#5438=EDGE_CURVE('',#4314,#4315,#544,.T.)!#5439=EDGE_CURVE('',#4315,#4315,#3416,.T.)!#5440=EDGE_CURVE('',#4314,#4316,#3417,.T.)!#5441=EDGE_CURVE('',#4317,#4318,#3418,.T.)!#5442=EDGE_CURVE('',#4318,#4317,#3419,.T.)!#5443=EDGE_CURVE('',#4318,#4319,#545,.T.)!#5444=EDGE_CURVE('',#4319,#4319,#3420,.T.)!#5445=EDGE_CURVE('',#4318,#4320,#3421,.T.)!#5446=EDGE_CURVE('',#4321,#4322,#3422,.T.)!#5447=EDGE_CURVE('',#4322,#4321,#3423,.T.)!#5448=EDGE_CURVE('',#4323,#4324,#546,.T.)!#5449=EDGE_CURVE('',#4325,#4323,#3424,.T.)!#5450=EDGE_CURVE('',#4326,#4325,#547,.T.)!#5451=EDGE_CURVE('',#4327,#4326,#3425,.T.)!#5452=EDGE_CURVE('',#4328,#4327,#548,.T.)!#5453=EDGE_CURVE('',#4328,#4329,#549,.T.)!#5454=EDGE_CURVE('',#4329,#4293,#550,.T.)!#5455=EDGE_CURVE('',#4312,#4330,#551,.T.)!#5456=EDGE_CURVE('',#4330,#4324,#552,.T.)!#5457=EDGE_CURVE('',#4294,#4331,#553,.T.)!#5458=EDGE_CURVE('',#4331,#4332,#554,.T.)!#5459=EDGE_CURVE('',#4332,#4311,#555,.T.)!#5460=EDGE_CURVE('',#4332,#4330,#556,.T.)!#5461=EDGE_CURVE('',#4329,#4331,#557,.T.)!#5462=EDGE_CURVE('',#4324,#4328,#558,.T.)!#5463=EDGE_CURVE('',#4333,#4328,#559,.T.)!#5464=EDGE_CURVE('',#4333,#4334,#560,.T.)!#5465=EDGE_CURVE('',#4324,#4334,#561,.T.)!#5466=EDGE_CURVE('',#4335,#4336,#562,.T.)!#5467=EDGE_CURVE('',#4337,#4335,#563,.T.)!#5468=EDGE_CURVE('',#4336,#4337,#564,.T.)!#5469=EDGE_CURVE('',#4338,#4339,#565,.T.)!#5470=EDGE_CURVE('',#4340,#4338,#566,.T.)!#5471=EDGE_CURVE('',#4339,#4340,#567,.T.)!#5472=EDGE_CURVE('',#4341,#4342,#3961,.T.)!#5473=EDGE_CURVE('',#4343,#4341,#3426,.T.)!#5474=EDGE_CURVE('',#4342,#4343,#3962,.T.)!#5475=EDGE_CURVE('',#4344,#4345,#3963,.T.)!#5476=EDGE_CURVE('',#4343,#4344,#3964,.T.)!#5477=EDGE_CURVE('',#4345,#4343,#3427,.T.)!#5478=EDGE_CURVE('',#4346,#4347,#3965,.T.)!#5479=EDGE_CURVE('',#4341,#4346,#3428,.T.)!#5480=EDGE_CURVE('',#4347,#4341,#3966,.T.)!#5481=EDGE_CURVE('',#4345,#4348,#3967,.T.)!#5482=EDGE_CURVE('',#4346,#4345,#3429,.T.)!#5483=EDGE_CURVE('',#4348,#4346,#3968,.T.)!#5484=EDGE_CURVE('',#4349,#4347,#568,.T.)!#5485=EDGE_CURVE('',#4339,#4349,#569,.T.)!#5486=EDGE_CURVE('',#4340,#4348,#570,.T.)!#5487=EDGE_CURVE('',#4337,#4342,#571,.T.)!#5488=EDGE_CURVE('',#4349,#4335,#572,.T.)!#5489=EDGE_CURVE('',#4350,#4338,#573,.T.)!#5490=EDGE_CURVE('',#4350,#4344,#574,.T.)!#5491=EDGE_CURVE('',#4336,#4350,#575,.T.)!#5492=EDGE_CURVE('',#4351,#4338,#576,.T.)!#5493=EDGE_CURVE('',#4351,#4352,#577,.T.)!#5494=EDGE_CURVE('',#4352,#4353,#578,.T.)!#5495=EDGE_CURVE('',#4336,#4353,#579,.T.)!#5496=EDGE_CURVE('',#4354,#4335,#580,.T.)!#5497=EDGE_CURVE('',#4355,#4354,#581,.T.)!#5498=EDGE_CURVE('',#4339,#4355,#582,.T.)!#5499=EDGE_CURVE('',#4353,#4356,#583,.T.)!#5500=EDGE_CURVE('',#4357,#4353,#584,.T.)!#5501=EDGE_CURVE('',#4356,#4357,#585,.T.)!#5502=EDGE_CURVE('',#4358,#4351,#586,.T.)!#5503=EDGE_CURVE('',#4359,#4358,#587,.T.)!#5504=EDGE_CURVE('',#4351,#4359,#588,.T.)!#5505=EDGE_CURVE('',#4360,#4361,#3969,.T.)!#5506=EDGE_CURVE('',#4362,#4360,#3430,.T.)!#5507=EDGE_CURVE('',#4361,#4362,#3970,.T.)!#5508=EDGE_CURVE('',#4363,#4364,#3971,.T.)!#5509=EDGE_CURVE('',#4362,#4363,#3972,.T.)!#5510=EDGE_CURVE('',#4364,#4362,#3431,.T.)!#5511=EDGE_CURVE('',#4365,#4366,#3973,.T.)!#5512=EDGE_CURVE('',#4360,#4365,#3432,.T.)!#5513=EDGE_CURVE('',#4366,#4360,#3974,.T.)!#5514=EDGE_CURVE('',#4364,#4367,#3975,.T.)!#5515=EDGE_CURVE('',#4365,#4364,#3433,.T.)!#5516=EDGE_CURVE('',#4367,#4365,#3976,.T.)!#5517=EDGE_CURVE('',#4352,#4366,#589,.T.)!#5518=EDGE_CURVE('',#4359,#4367,#590,.T.)!#5519=EDGE_CURVE('',#4357,#4361,#591,.T.)!#5520=EDGE_CURVE('',#4368,#4358,#592,.T.)!#5521=EDGE_CURVE('',#4368,#4363,#593,.T.)!#5522=EDGE_CURVE('',#4356,#4368,#594,.T.)!#5523=EDGE_CURVE('',#4369,#4358,#595,.T.)!#5524=EDGE_CURVE('',#4369,#4370,#596,.T.)!#5525=EDGE_CURVE('',#4356,#4370,#597,.T.)!#5526=EDGE_CURVE('',#4371,#4372,#3434,.T.)!#5527=EDGE_CURVE('',#4372,#4371,#3435,.T.)!#5528=EDGE_CURVE('',#4372,#4373,#598,.T.)!#5529=EDGE_CURVE('',#4373,#4373,#3436,.T.)!#5530=EDGE_CURVE('',#4372,#4374,#3437,.T.)!#5531=EDGE_CURVE('',#4375,#4376,#3438,.T.)!#5532=EDGE_CURVE('',#4376,#4375,#3439,.T.)!#5533=EDGE_CURVE('',#4376,#4377,#599,.T.)!#5534=EDGE_CURVE('',#4377,#4377,#3440,.T.)!#5535=EDGE_CURVE('',#4376,#4378,#3441,.T.)!#5536=EDGE_CURVE('',#4379,#4380,#3442,.T.)!#5537=EDGE_CURVE('',#4380,#4379,#3443,.T.)!#5538=EDGE_CURVE('',#4381,#4382,#600,.T.)!#5539=EDGE_CURVE('',#4381,#4383,#601,.T.)!#5540=EDGE_CURVE('',#4383,#4369,#602,.T.)!#5541=EDGE_CURVE('',#4355,#4384,#603,.T.)!#5542=EDGE_CURVE('',#4384,#4385,#604,.T.)!#5543=EDGE_CURVE('',#4386,#4385,#605,.T.)!#5544=EDGE_CURVE('',#4387,#4386,#3444,.T.)!#5545=EDGE_CURVE('',#4387,#4388,#606,.T.)!#5546=EDGE_CURVE('',#4382,#4388,#3445,.T.)!#5547=EDGE_CURVE('',#4389,#4354,#607,.T.)!#5548=EDGE_CURVE('',#4370,#4390,#608,.T.)!#5549=EDGE_CURVE('',#4390,#4389,#609,.T.)!#5550=EDGE_CURVE('',#4383,#4390,#610,.T.)!#5551=EDGE_CURVE('',#4385,#4381,#611,.T.)!#5552=EDGE_CURVE('',#4389,#4384,#612,.T.)!#5553=EDGE_CURVE('',#4391,#4392,#3446,.T.)!#5554=EDGE_CURVE('',#4392,#4391,#3447,.T.)!#5555=EDGE_CURVE('',#4393,#4394,#3448,.T.)!#5556=EDGE_CURVE('',#4394,#4395,#3449,.T.)!#5557=EDGE_CURVE('',#4395,#4396,#3450,.T.)!#5558=EDGE_CURVE('',#4396,#4395,#3451,.T.)!#5559=EDGE_CURVE('',#4394,#4393,#3452,.T.)!#5560=EDGE_CURVE('',#4393,#4397,#613,.T.)!#5561=EDGE_CURVE('',#4397,#4398,#3453,.T.)!#5562=EDGE_CURVE('',#4398,#4397,#3454,.T.)!#5563=EDGE_CURVE('',#4399,#4400,#3455,.T.)!#5564=EDGE_CURVE('',#4400,#4399,#3456,.T.)!#5565=EDGE_CURVE('',#4400,#4396,#614,.T.)!#5566=EDGE_CURVE('',#4401,#4402,#3457,.T.)!#5567=EDGE_CURVE('',#4402,#4403,#3458,.T.)!#5568=EDGE_CURVE('',#4403,#4404,#3459,.T.)!#5569=EDGE_CURVE('',#4404,#4403,#3460,.T.)!#5570=EDGE_CURVE('',#4402,#4401,#3461,.T.)!#5571=EDGE_CURVE('',#4405,#4406,#3462,.T.)!#5572=EDGE_CURVE('',#4406,#4407,#3463,.T.)!#5573=EDGE_CURVE('',#4407,#4404,#615,.T.)!#5574=EDGE_CURVE('',#4407,#4405,#3464,.T.)!#5575=EDGE_CURVE('',#4408,#4409,#3465,.T.)!#5576=EDGE_CURVE('',#4409,#4408,#3466,.T.)!#5577=EDGE_CURVE('',#4410,#4411,#3467,.T.)!#5578=EDGE_CURVE('',#4411,#4412,#3468,.T.)!#5579=EDGE_CURVE('',#4412,#4413,#3469,.T.)!#5580=EDGE_CURVE('',#4413,#4412,#3470,.T.)!#5581=EDGE_CURVE('',#4411,#4410,#3471,.T.)!#5582=EDGE_CURVE('',#4414,#4415,#3472,.T.)!#5583=EDGE_CURVE('',#4415,#4414,#3473,.T.)!#5584=EDGE_CURVE('',#4416,#4417,#3474,.T.)!#5585=EDGE_CURVE('',#4418,#4416,#3475,.T.)!#5586=EDGE_CURVE('',#4418,#4410,#616,.T.)!#5587=EDGE_CURVE('',#4417,#4418,#3476,.T.)!#5588=EDGE_CURVE('',#4417,#4406,#3477,.T.)!#5589=EDGE_CURVE('',#4397,#4409,#617,.T.)!#5590=EDGE_CURVE('',#4392,#4415,#618,.T.)!#5591=EDGE_CURVE('',#4419,#4420,#3478,.T.)!#5592=EDGE_CURVE('',#4420,#4419,#3479,.T.)!#5593=EDGE_CURVE('',#4421,#4422,#3480,.T.)!#5594=EDGE_CURVE('',#4422,#4421,#3481,.T.)!#5595=EDGE_CURVE('',#4423,#4424,#3482,.T.)!#5596=EDGE_CURVE('',#4424,#4423,#3483,.T.)!#5597=EDGE_CURVE('',#4425,#4426,#3484,.T.)!#5598=EDGE_CURVE('',#4426,#4427,#3485,.T.)!#5599=EDGE_CURVE('',#4427,#4428,#3486,.T.)!#5600=EDGE_CURVE('',#4428,#4427,#3487,.T.)!#5601=EDGE_CURVE('',#4426,#4425,#3488,.T.)!#5602=EDGE_CURVE('',#4425,#4429,#619,.T.)!#5603=EDGE_CURVE('',#4429,#4430,#3489,.T.)!#5604=EDGE_CURVE('',#4430,#4429,#3490,.T.)!#5605=EDGE_CURVE('',#4420,#4428,#620,.T.)!#5606=EDGE_CURVE('',#4431,#4432,#3491,.T.)!#5607=EDGE_CURVE('',#4432,#4433,#3492,.T.)!#5608=EDGE_CURVE('',#4433,#4434,#3493,.T.)!#5609=EDGE_CURVE('',#4434,#4433,#3494,.T.)!#5610=EDGE_CURVE('',#4432,#4431,#3495,.T.)!#5611=EDGE_CURVE('',#4435,#4436,#3496,.T.)!#5612=EDGE_CURVE('',#4436,#4437,#3497,.T.)!#5613=EDGE_CURVE('',#4437,#4434,#621,.T.)!#5614=EDGE_CURVE('',#4437,#4435,#3498,.T.)!#5615=EDGE_CURVE('',#4438,#4439,#3499,.T.)!#5616=EDGE_CURVE('',#4439,#4438,#3500,.T.)!#5617=EDGE_CURVE('',#4440,#4441,#3501,.T.)!#5618=EDGE_CURVE('',#4441,#4442,#3502,.T.)!#5619=EDGE_CURVE('',#4442,#4443,#3503,.T.)!#5620=EDGE_CURVE('',#4443,#4442,#3504,.T.)!#5621=EDGE_CURVE('',#4441,#4440,#3505,.T.)!#5622=EDGE_CURVE('',#4444,#4445,#3506,.T.)!#5623=EDGE_CURVE('',#4445,#4444,#3507,.T.)!#5624=EDGE_CURVE('',#4446,#4447,#3508,.T.)!#5625=EDGE_CURVE('',#4448,#4446,#3509,.T.)!#5626=EDGE_CURVE('',#4448,#4440,#622,.T.)!#5627=EDGE_CURVE('',#4447,#4448,#3510,.T.)!#5628=EDGE_CURVE('',#4447,#4436,#3511,.T.)!#5629=EDGE_CURVE('',#4429,#4439,#623,.T.)!#5630=EDGE_CURVE('',#4424,#4445,#624,.T.)!#5631=EDGE_CURVE('',#4449,#4449,#3512,.T.)!#5632=EDGE_CURVE('',#4449,#4450,#625,.T.)!#5633=EDGE_CURVE('',#4451,#4450,#3513,.T.)!#5634=EDGE_CURVE('',#4452,#4451,#3514,.T.)!#5635=EDGE_CURVE('',#4450,#4452,#3515,.T.)!#5636=EDGE_CURVE('',#4453,#4454,#3516,.T.)!#5637=EDGE_CURVE('',#4454,#4452,#3517,.T.)!#5638=EDGE_CURVE('',#4454,#4453,#3518,.T.)!#5639=EDGE_CURVE('',#4455,#4456,#3519,.T.)!#5640=EDGE_CURVE('',#4456,#4455,#3520,.T.)!#5641=EDGE_CURVE('',#4457,#4458,#3977,.T.)!#5642=EDGE_CURVE('',#4459,#4457,#3521,.T.)!#5643=EDGE_CURVE('',#4458,#4459,#3978,.T.)!#5644=EDGE_CURVE('',#4460,#4461,#3979,.T.)!#5645=EDGE_CURVE('',#4459,#4460,#3980,.T.)!#5646=EDGE_CURVE('',#4461,#4459,#3522,.T.)!#5647=EDGE_CURVE('',#4462,#4463,#3981,.T.)!#5648=EDGE_CURVE('',#4457,#4462,#3523,.T.)!#5649=EDGE_CURVE('',#4463,#4457,#3982,.T.)!#5650=EDGE_CURVE('',#4464,#4465,#3983,.T.)!#5651=EDGE_CURVE('',#4461,#4464,#3984,.T.)!#5652=EDGE_CURVE('',#4465,#4461,#3524,.T.)!#5653=EDGE_CURVE('',#4466,#4467,#3985,.T.)!#5654=EDGE_CURVE('',#4462,#4466,#3525,.T.)!#5655=EDGE_CURVE('',#4467,#4462,#3986,.T.)!#5656=EDGE_CURVE('',#4468,#4466,#3987,.T.)!#5657=EDGE_CURVE('',#4465,#4468,#3988,.T.)!#5658=EDGE_CURVE('',#4466,#4465,#3526,.T.)!#5659=EDGE_CURVE('',#4469,#4467,#626,.T.)!#5660=EDGE_CURVE('',#4470,#4469,#627,.T.)!#5661=EDGE_CURVE('',#4470,#4468,#628,.T.)!#5662=EDGE_CURVE('',#4471,#4463,#629,.T.)!#5663=EDGE_CURVE('',#4469,#4471,#630,.T.)!#5664=EDGE_CURVE('',#4472,#4458,#631,.T.)!#5665=EDGE_CURVE('',#4471,#4472,#632,.T.)!#5666=EDGE_CURVE('',#4472,#4473,#633,.T.)!#5667=EDGE_CURVE('',#4473,#4474,#634,.T.)!#5668=EDGE_CURVE('',#4474,#4470,#635,.T.)!#5669=EDGE_CURVE('',#4475,#4476,#3527,.T.)!#5670=EDGE_CURVE('',#4476,#4475,#3528,.T.)!#5671=EDGE_CURVE('',#4473,#4460,#636,.T.)!#5672=EDGE_CURVE('',#4474,#4464,#637,.T.)!#5673=EDGE_CURVE('',#4456,#4476,#638,.T.)!#5674=EDGE_CURVE('',#4477,#4477,#3529,.T.)!#5675=EDGE_CURVE('',#4477,#4478,#639,.T.)!#5676=EDGE_CURVE('',#4479,#4478,#3530,.T.)!#5677=EDGE_CURVE('',#4480,#4479,#3531,.T.)!#5678=EDGE_CURVE('',#4478,#4480,#3532,.T.)!#5679=EDGE_CURVE('',#4481,#4482,#3533,.T.)!#5680=EDGE_CURVE('',#4482,#4480,#3534,.T.)!#5681=EDGE_CURVE('',#4482,#4481,#3535,.T.)!#5682=EDGE_CURVE('',#4483,#4484,#3536,.T.)!#5683=EDGE_CURVE('',#4484,#4483,#3537,.T.)!#5684=EDGE_CURVE('',#4485,#4486,#3989,.T.)!#5685=EDGE_CURVE('',#4487,#4485,#3538,.T.)!#5686=EDGE_CURVE('',#4486,#4487,#3990,.T.)!#5687=EDGE_CURVE('',#4488,#4489,#3991,.T.)!#5688=EDGE_CURVE('',#4487,#4488,#3992,.T.)!#5689=EDGE_CURVE('',#4489,#4487,#3539,.T.)!#5690=EDGE_CURVE('',#4490,#4491,#3993,.T.)!#5691=EDGE_CURVE('',#4485,#4490,#3540,.T.)!#5692=EDGE_CURVE('',#4491,#4485,#3994,.T.)!#5693=EDGE_CURVE('',#4492,#4493,#3995,.T.)!#5694=EDGE_CURVE('',#4489,#4492,#3996,.T.)!#5695=EDGE_CURVE('',#4493,#4489,#3541,.T.)!#5696=EDGE_CURVE('',#4494,#4495,#3997,.T.)!#5697=EDGE_CURVE('',#4490,#4494,#3542,.T.)!#5698=EDGE_CURVE('',#4495,#4490,#3998,.T.)!#5699=EDGE_CURVE('',#4496,#4494,#3999,.T.)!#5700=EDGE_CURVE('',#4493,#4496,#4000,.T.)!#5701=EDGE_CURVE('',#4494,#4493,#3543,.T.)!#5702=EDGE_CURVE('',#4497,#4495,#640,.T.)!#5703=EDGE_CURVE('',#4498,#4497,#641,.T.)!#5704=EDGE_CURVE('',#4498,#4496,#642,.T.)!#5705=EDGE_CURVE('',#4499,#4491,#643,.T.)!#5706=EDGE_CURVE('',#4497,#4499,#644,.T.)!#5707=EDGE_CURVE('',#4500,#4486,#645,.T.)!#5708=EDGE_CURVE('',#4499,#4500,#646,.T.)!#5709=EDGE_CURVE('',#4500,#4501,#647,.T.)!#5710=EDGE_CURVE('',#4501,#4502,#648,.T.)!#5711=EDGE_CURVE('',#4502,#4498,#649,.T.)!#5712=EDGE_CURVE('',#4503,#4504,#3544,.T.)!#5713=EDGE_CURVE('',#4504,#4503,#3545,.T.)!#5714=EDGE_CURVE('',#4501,#4488,#650,.T.)!#5715=EDGE_CURVE('',#4502,#4492,#651,.T.)!#5716=EDGE_CURVE('',#4484,#4504,#652,.T.)!#5717=EDGE_CURVE('',#4505,#4505,#3546,.T.)!#5718=EDGE_CURVE('',#4505,#4506,#653,.T.)!#5719=EDGE_CURVE('',#4507,#4506,#3547,.T.)!#5720=EDGE_CURVE('',#4508,#4507,#3548,.T.)!#5721=EDGE_CURVE('',#4506,#4508,#3549,.T.)!#5722=EDGE_CURVE('',#4509,#4510,#3550,.T.)!#5723=EDGE_CURVE('',#4510,#4508,#3551,.T.)!#5724=EDGE_CURVE('',#4510,#4509,#3552,.T.)!#5725=EDGE_CURVE('',#4511,#4512,#3553,.T.)!#5726=EDGE_CURVE('',#4512,#4511,#3554,.T.)!#5727=EDGE_CURVE('',#4513,#4514,#4001,.T.)!#5728=EDGE_CURVE('',#4515,#4513,#3555,.T.)!#5729=EDGE_CURVE('',#4514,#4515,#4002,.T.)!#5730=EDGE_CURVE('',#4516,#4517,#4003,.T.)!#5731=EDGE_CURVE('',#4515,#4516,#4004,.T.)!#5732=EDGE_CURVE('',#4517,#4515,#3556,.T.)!#5733=EDGE_CURVE('',#4518,#4519,#4005,.T.)!#5734=EDGE_CURVE('',#4513,#4518,#3557,.T.)!#5735=EDGE_CURVE('',#4519,#4513,#4006,.T.)!#5736=EDGE_CURVE('',#4520,#4521,#4007,.T.)!#5737=EDGE_CURVE('',#4517,#4520,#4008,.T.)!#5738=EDGE_CURVE('',#4521,#4517,#3558,.T.)!#5739=EDGE_CURVE('',#4522,#4523,#4009,.T.)!#5740=EDGE_CURVE('',#4518,#4522,#3559,.T.)!#5741=EDGE_CURVE('',#4523,#4518,#4010,.T.)!#5742=EDGE_CURVE('',#4524,#4522,#4011,.T.)!#5743=EDGE_CURVE('',#4521,#4524,#4012,.T.)!#5744=EDGE_CURVE('',#4522,#4521,#3560,.T.)!#5745=EDGE_CURVE('',#4525,#4523,#654,.T.)!#5746=EDGE_CURVE('',#4526,#4525,#655,.T.)!#5747=EDGE_CURVE('',#4526,#4524,#656,.T.)!#5748=EDGE_CURVE('',#4527,#4519,#657,.T.)!#5749=EDGE_CURVE('',#4525,#4527,#658,.T.)!#5750=EDGE_CURVE('',#4528,#4514,#659,.T.)!#5751=EDGE_CURVE('',#4527,#4528,#660,.T.)!#5752=EDGE_CURVE('',#4528,#4529,#661,.T.)!#5753=EDGE_CURVE('',#4529,#4530,#662,.T.)!#5754=EDGE_CURVE('',#4530,#4526,#663,.T.)!#5755=EDGE_CURVE('',#4531,#4532,#3561,.T.)!#5756=EDGE_CURVE('',#4532,#4531,#3562,.T.)!#5757=EDGE_CURVE('',#4529,#4516,#664,.T.)!#5758=EDGE_CURVE('',#4530,#4520,#665,.T.)!#5759=EDGE_CURVE('',#4512,#4532,#666,.T.)!#5760=EDGE_CURVE('',#4533,#4533,#3563,.T.)!#5761=EDGE_CURVE('',#4533,#4534,#667,.T.)!#5762=EDGE_CURVE('',#4535,#4534,#3564,.T.)!#5763=EDGE_CURVE('',#4536,#4535,#3565,.T.)!#5764=EDGE_CURVE('',#4534,#4536,#3566,.T.)!#5765=EDGE_CURVE('',#4537,#4538,#3567,.T.)!#5766=EDGE_CURVE('',#4538,#4536,#3568,.T.)!#5767=EDGE_CURVE('',#4538,#4537,#3569,.T.)!#5768=EDGE_CURVE('',#4539,#4540,#3570,.T.)!#5769=EDGE_CURVE('',#4540,#4539,#3571,.T.)!#5770=EDGE_CURVE('',#4541,#4542,#4013,.T.)!#5771=EDGE_CURVE('',#4543,#4541,#3572,.T.)!#5772=EDGE_CURVE('',#4542,#4543,#4014,.T.)!#5773=EDGE_CURVE('',#4544,#4545,#4015,.T.)!#5774=EDGE_CURVE('',#4543,#4544,#4016,.T.)!#5775=EDGE_CURVE('',#4545,#4543,#3573,.T.)!#5776=EDGE_CURVE('',#4546,#4547,#4017,.T.)!#5777=EDGE_CURVE('',#4541,#4546,#3574,.T.)!#5778=EDGE_CURVE('',#4547,#4541,#4018,.T.)!#5779=EDGE_CURVE('',#4548,#4549,#4019,.T.)!#5780=EDGE_CURVE('',#4545,#4548,#4020,.T.)!#5781=EDGE_CURVE('',#4549,#4545,#3575,.T.)!#5782=EDGE_CURVE('',#4550,#4551,#4021,.T.)!#5783=EDGE_CURVE('',#4546,#4550,#3576,.T.)!#5784=EDGE_CURVE('',#4551,#4546,#4022,.T.)!#5785=EDGE_CURVE('',#4552,#4550,#4023,.T.)!#5786=EDGE_CURVE('',#4549,#4552,#4024,.T.)!#5787=EDGE_CURVE('',#4550,#4549,#3577,.T.)!#5788=EDGE_CURVE('',#4553,#4551,#668,.T.)!#5789=EDGE_CURVE('',#4554,#4553,#669,.T.)!#5790=EDGE_CURVE('',#4554,#4552,#670,.T.)!#5791=EDGE_CURVE('',#4555,#4547,#671,.T.)!#5792=EDGE_CURVE('',#4553,#4555,#672,.T.)!#5793=EDGE_CURVE('',#4556,#4542,#673,.T.)!#5794=EDGE_CURVE('',#4555,#4556,#674,.T.)!#5795=EDGE_CURVE('',#4556,#4557,#675,.T.)!#5796=EDGE_CURVE('',#4557,#4558,#676,.T.)!#5797=EDGE_CURVE('',#4558,#4554,#677,.T.)!#5798=EDGE_CURVE('',#4559,#4560,#3578,.T.)!#5799=EDGE_CURVE('',#4560,#4559,#3579,.T.)!#5800=EDGE_CURVE('',#4557,#4544,#678,.T.)!#5801=EDGE_CURVE('',#4558,#4548,#679,.T.)!#5802=EDGE_CURVE('',#4540,#4560,#680,.T.)!#5803=EDGE_CURVE('',#4561,#4562,#4025,.T.)!#5804=EDGE_CURVE('',#4563,#4561,#3580,.T.)!#5805=EDGE_CURVE('',#4562,#4563,#4026,.T.)!#5806=EDGE_CURVE('',#4564,#4565,#4027,.T.)!#5807=EDGE_CURVE('',#4563,#4564,#4028,.T.)!#5808=EDGE_CURVE('',#4565,#4563,#3581,.T.)!#5809=EDGE_CURVE('',#4566,#4567,#4029,.T.)!#5810=EDGE_CURVE('',#4561,#4566,#3582,.T.)!#5811=EDGE_CURVE('',#4567,#4561,#4030,.T.)!#5812=EDGE_CURVE('',#4568,#4569,#4031,.T.)!#5813=EDGE_CURVE('',#4565,#4568,#4032,.T.)!#5814=EDGE_CURVE('',#4569,#4565,#3583,.T.)!#5815=EDGE_CURVE('',#4570,#4571,#4033,.T.)!#5816=EDGE_CURVE('',#4566,#4570,#3584,.T.)!#5817=EDGE_CURVE('',#4571,#4566,#4034,.T.)!#5818=EDGE_CURVE('',#4572,#4570,#4035,.T.)!#5819=EDGE_CURVE('',#4569,#4572,#4036,.T.)!#5820=EDGE_CURVE('',#4570,#4569,#3585,.T.)!#5821=EDGE_CURVE('',#4573,#4571,#681,.T.)!#5822=EDGE_CURVE('',#4574,#4573,#682,.T.)!#5823=EDGE_CURVE('',#4574,#4572,#683,.T.)!#5824=EDGE_CURVE('',#4575,#4567,#684,.T.)!#5825=EDGE_CURVE('',#4573,#4575,#685,.T.)!#5826=EDGE_CURVE('',#4576,#4562,#686,.T.)!#5827=EDGE_CURVE('',#4575,#4576,#687,.T.)!#5828=EDGE_CURVE('',#4577,#4564,#688,.T.)!#5829=EDGE_CURVE('',#4576,#4577,#689,.T.)!#5830=EDGE_CURVE('',#4578,#4568,#690,.T.)!#5831=EDGE_CURVE('',#4577,#4578,#691,.T.)!#5832=EDGE_CURVE('',#4578,#4574,#692,.T.)!#5833=EDGE_CURVE('',#4579,#4580,#693,.T.)!#5834=EDGE_CURVE('',#4579,#4581,#694,.T.)!#5835=EDGE_CURVE('',#4581,#4580,#695,.T.)!#5836=EDGE_CURVE('',#4582,#4583,#696,.T.)!#5837=EDGE_CURVE('',#4583,#4584,#697,.T.)!#5838=EDGE_CURVE('',#4584,#4585,#698,.T.)!#5839=EDGE_CURVE('',#4585,#4586,#699,.T.)!#5840=EDGE_CURVE('',#4586,#4587,#700,.T.)!#5841=EDGE_CURVE('',#4587,#4582,#3586,.T.)!#5842=EDGE_CURVE('',#4588,#4588,#3587,.T.)!#5843=EDGE_CURVE('',#4589,#4590,#701,.T.)!#5844=EDGE_CURVE('',#4589,#4591,#702,.T.)!#5845=EDGE_CURVE('',#4591,#4590,#703,.T.)!#5846=EDGE_CURVE('',#4592,#4593,#704,.T.)!#5847=EDGE_CURVE('',#4592,#4594,#705,.T.)!#5848=EDGE_CURVE('',#4594,#4595,#706,.T.)!#5849=EDGE_CURVE('',#4595,#4593,#707,.T.)!#5850=EDGE_CURVE('',#4596,#4597,#262,.T.)!#5851=EDGE_CURVE('',#4597,#4596,#263,.T.)!#5852=EDGE_CURVE('',#4597,#4588,#708,.T.)!#5853=EDGE_CURVE('',#4598,#4599,#709,.T.)!#5854=EDGE_CURVE('',#4599,#4600,#710,.T.)!#5855=EDGE_CURVE('',#4600,#4601,#711,.T.)!#5856=EDGE_CURVE('',#4601,#4598,#712,.T.)!#5857=EDGE_CURVE('',#4602,#4600,#713,.T.)!#5858=EDGE_CURVE('',#4599,#4603,#714,.T.)!#5859=EDGE_CURVE('',#4603,#4602,#715,.T.)!#5860=EDGE_CURVE('',#4604,#4602,#716,.T.)!#5861=EDGE_CURVE('',#4603,#4605,#717,.T.)!#5862=EDGE_CURVE('',#4605,#4604,#718,.T.)!#5863=EDGE_CURVE('',#4606,#4598,#719,.T.)!#5864=EDGE_CURVE('',#4601,#4607,#720,.T.)!#5865=EDGE_CURVE('',#4606,#4607,#721,.T.)!#5866=EDGE_CURVE('',#4608,#4604,#722,.T.)!#5867=EDGE_CURVE('',#4605,#4609,#723,.T.)!#5868=EDGE_CURVE('',#4609,#4608,#724,.T.)!#5869=EDGE_CURVE('',#4607,#4608,#725,.T.)!#5870=EDGE_CURVE('',#4609,#4606,#726,.T.)!#5871=EDGE_CURVE('',#4607,#4595,#727,.T.)!#5872=EDGE_CURVE('',#4608,#4594,#728,.T.)!#5873=EDGE_CURVE('',#4601,#4591,#729,.T.)!#5874=EDGE_CURVE('',#4593,#4589,#730,.T.)!#5875=EDGE_CURVE('',#4600,#4584,#731,.T.)!#5876=EDGE_CURVE('',#4590,#4583,#732,.T.)!#5877=EDGE_CURVE('',#4580,#4592,#733,.T.)!#5878=EDGE_CURVE('',#4604,#4581,#734,.T.)!#5879=EDGE_CURVE('',#4586,#4579,#735,.T.)!#5880=EDGE_CURVE('',#4602,#4585,#736,.T.)!#5881=EDGE_CURVE('',#4583,#4610,#737,.T.)!#5882=EDGE_CURVE('',#4610,#4611,#738,.T.)!#5883=EDGE_CURVE('',#4590,#4611,#739,.T.)!#5884=EDGE_CURVE('',#4612,#4579,#740,.T.)!#5885=EDGE_CURVE('',#4612,#4613,#741,.T.)!#5886=EDGE_CURVE('',#4613,#4586,#742,.T.)!#5887=EDGE_CURVE('',#4614,#4592,#743,.T.)!#5888=EDGE_CURVE('',#4580,#4614,#744,.T.)!#5889=EDGE_CURVE('',#4615,#4589,#745,.T.)!#5890=EDGE_CURVE('',#4593,#4615,#746,.T.)!#5891=EDGE_CURVE('',#4616,#4617,#3588,.T.)!#5892=EDGE_CURVE('',#4618,#4616,#747,.T.)!#5893=EDGE_CURVE('',#4619,#4618,#3589,.T.)!#5894=EDGE_CURVE('',#4619,#4617,#748,.T.)!#5895=EDGE_CURVE('',#4620,#4621,#3590,.T.)!#5896=EDGE_CURVE('',#4621,#4622,#749,.T.)!#5897=EDGE_CURVE('',#4623,#4622,#3591,.T.)!#5898=EDGE_CURVE('',#4620,#4623,#750,.T.)!#5899=EDGE_CURVE('',#4624,#4625,#264,.T.)!#5900=EDGE_CURVE('',#4582,#4624,#751,.T.)!#5901=EDGE_CURVE('',#4587,#4625,#752,.T.)!#5902=EDGE_CURVE('',#4625,#4626,#3592,.T.)!#5903=EDGE_CURVE('',#4626,#4613,#753,.T.)!#5904=EDGE_CURVE('',#4627,#4624,#3593,.T.)!#5905=EDGE_CURVE('',#4610,#4627,#754,.T.)!#5906=EDGE_CURVE('',#4626,#4628,#755,.T.)!#5907=EDGE_CURVE('',#4629,#4628,#3594,.T.)!#5908=EDGE_CURVE('',#4629,#4630,#756,.T.)!#5909=EDGE_CURVE('',#4631,#4630,#3595,.T.)!#5910=EDGE_CURVE('',#4631,#4627,#757,.T.)!#5911=EDGE_CURVE('',#4628,#4612,#758,.T.)!#5912=EDGE_CURVE('',#4614,#4619,#3596,.T.)!#5913=EDGE_CURVE('',#4618,#4629,#759,.T.)!#5914=EDGE_CURVE('',#4611,#4631,#760,.T.)!#5915=EDGE_CURVE('',#4622,#4615,#3597,.T.)!#5916=EDGE_CURVE('',#4617,#4621,#761,.T.)!#5917=EDGE_CURVE('',#4620,#4616,#762,.T.)!#5918=EDGE_CURVE('',#4630,#4623,#763,.T.)!#5919=EDGE_CURVE('',#4632,#4633,#3598,.T.)!#5920=EDGE_CURVE('',#4632,#4634,#764,.T.)!#5921=EDGE_CURVE('',#4634,#4635,#765,.T.)!#5922=EDGE_CURVE('',#4635,#4633,#3599,.T.)!#5923=EDGE_CURVE('',#4636,#4632,#766,.T.)!#5924=EDGE_CURVE('',#4637,#4636,#767,.T.)!#5925=EDGE_CURVE('',#4637,#4634,#768,.T.)!#5926=EDGE_CURVE('',#4638,#4637,#769,.T.)!#5927=EDGE_CURVE('',#4638,#4635,#770,.T.)!#5928=EDGE_CURVE('',#4639,#4638,#3600,.T.)!#5929=EDGE_CURVE('',#4633,#4639,#771,.T.)!#5930=EDGE_CURVE('',#4636,#4639,#3601,.T.)!#5931=EDGE_CURVE('',#4621,#4640,#3602,.T.)!#5932=EDGE_CURVE('',#4640,#4641,#772,.T.)!#5933=EDGE_CURVE('',#4641,#4642,#3603,.T.)!#5934=EDGE_CURVE('',#4642,#4643,#773,.T.)!#5935=EDGE_CURVE('',#4643,#4644,#3604,.T.)!#5936=EDGE_CURVE('',#4644,#4645,#774,.T.)!#5937=EDGE_CURVE('',#4617,#4645,#3605,.T.)!#5938=EDGE_CURVE('',#4646,#4616,#3606,.T.)!#5939=EDGE_CURVE('',#4646,#4647,#775,.T.)!#5940=EDGE_CURVE('',#4647,#4620,#3607,.T.)!#5941=EDGE_CURVE('',#4648,#4632,#3608,.T.)!#5942=EDGE_CURVE('',#4648,#4649,#776,.T.)!#5943=EDGE_CURVE('',#4649,#4636,#3609,.T.)!#5944=EDGE_CURVE('',#4650,#4651,#777,.T.)!#5945=EDGE_CURVE('',#4652,#4651,#3610,.T.)!#5946=EDGE_CURVE('',#4653,#4652,#778,.T.)!#5947=EDGE_CURVE('',#4641,#4653,#3611,.T.)!#5948=EDGE_CURVE('',#4641,#4644,#779,.T.)!#5949=EDGE_CURVE('',#4654,#4644,#3612,.T.)!#5950=EDGE_CURVE('',#4655,#4654,#780,.T.)!#5951=EDGE_CURVE('',#4650,#4655,#3613,.T.)!#5952=EDGE_CURVE('',#4655,#4656,#3614,.T.)!#5953=EDGE_CURVE('',#4656,#4657,#781,.T.)!#5954=EDGE_CURVE('',#4657,#4648,#782,.T.)!#5955=EDGE_CURVE('',#4650,#4648,#3615,.T.)!#5956=EDGE_CURVE('',#4658,#4652,#3616,.T.)!#5957=EDGE_CURVE('',#4651,#4649,#3617,.T.)!#5958=EDGE_CURVE('',#4649,#4659,#783,.T.)!#5959=EDGE_CURVE('',#4659,#4658,#784,.T.)!#5960=EDGE_CURVE('',#4660,#4653,#3618,.T.)!#5961=EDGE_CURVE('',#4660,#4661,#785,.T.)!#5962=EDGE_CURVE('',#4661,#4642,#786,.T.)!#5963=EDGE_CURVE('',#4660,#4662,#787,.T.)!#5964=EDGE_CURVE('',#4663,#4662,#788,.T.)!#5965=EDGE_CURVE('',#4661,#4663,#789,.T.)!#5966=EDGE_CURVE('',#4643,#4663,#790,.T.)!#5967=EDGE_CURVE('',#4654,#4662,#3619,.T.)!#5968=EDGE_CURVE('',#4658,#4656,#791,.T.)!#5969=EDGE_CURVE('',#4657,#4659,#792,.T.)!#5970=EDGE_CURVE('',#4639,#4664,#3620,.T.)!#5971=EDGE_CURVE('',#4664,#4665,#793,.T.)!#5972=EDGE_CURVE('',#4633,#4665,#3621,.T.)!#5973=EDGE_CURVE('',#4666,#4667,#3622,.T.)!#5974=EDGE_CURVE('',#4666,#4668,#794,.T.)!#5975=EDGE_CURVE('',#4669,#4668,#3623,.T.)!#5976=EDGE_CURVE('',#4669,#4670,#795,.T.)!#5977=EDGE_CURVE('',#4667,#4670,#3624,.T.)!#5978=EDGE_CURVE('',#4671,#4666,#4037,.T.)!#5979=EDGE_CURVE('',#4672,#4671,#796,.T.)!#5980=EDGE_CURVE('',#4673,#4672,#4038,.T.)!#5981=EDGE_CURVE('',#4665,#4673,#265,.T.)!#5982=EDGE_CURVE('',#4674,#4664,#266,.T.)!#5983=EDGE_CURVE('',#4668,#4674,#4039,.T.)!#5984=EDGE_CURVE('',#4670,#4675,#4040,.T.)!#5985=EDGE_CURVE('',#4676,#4669,#4041,.T.)!#5986=EDGE_CURVE('',#4651,#4676,#267,.T.)!#5987=EDGE_CURVE('',#4677,#4650,#268,.T.)!#5988=EDGE_CURVE('',#4678,#4677,#4042,.T.)!#5989=EDGE_CURVE('',#4675,#4678,#797,.T.)!#5990=EDGE_CURVE('',#4676,#4674,#3625,.T.)!#5991=EDGE_CURVE('',#4678,#4679,#3626,.T.)!#5992=EDGE_CURVE('',#4677,#4673,#3627,.T.)!#5993=EDGE_CURVE('',#4679,#4672,#3628,.T.)!#5994=EDGE_CURVE('',#4680,#4681,#4043,.T.)!#5995=EDGE_CURVE('',#4682,#4680,#798,.T.)!#5996=EDGE_CURVE('',#4683,#4682,#4044,.T.)!#5997=EDGE_CURVE('',#4645,#4683,#269,.T.)!#5998=EDGE_CURVE('',#4684,#4640,#270,.T.)!#5999=EDGE_CURVE('',#4685,#4684,#4045,.T.)!#6000=EDGE_CURVE('',#4681,#4685,#799,.T.)!#6001=EDGE_CURVE('',#4686,#4682,#3629,.T.)!#6002=EDGE_CURVE('',#4686,#4687,#4046,.T.)!#6003=EDGE_CURVE('',#4687,#4683,#3630,.T.)!#6004=EDGE_CURVE('',#4688,#4689,#4047,.T.)!#6005=EDGE_CURVE('',#4690,#4688,#800,.T.)!#6006=EDGE_CURVE('',#4691,#4690,#4048,.T.)!#6007=EDGE_CURVE('',#4647,#4691,#271,.T.)!#6008=EDGE_CURVE('',#4687,#4646,#272,.T.)!#6009=EDGE_CURVE('',#4689,#4686,#801,.T.)!#6010=EDGE_CURVE('',#4681,#4688,#3631,.T.)!#6011=EDGE_CURVE('',#4690,#4685,#3632,.T.)!#6012=EDGE_CURVE('',#4691,#4684,#3633,.T.)!#6013=EDGE_CURVE('',#4679,#4692,#802,.T.)!#6014=EDGE_CURVE('',#4692,#4693,#3634,.T.)!#6015=EDGE_CURVE('',#4693,#4692,#3635,.T.)!#6016=EDGE_CURVE('',#4671,#4689,#3636,.T.)!#6017=EDGE_CURVE('',#4680,#4675,#3637,.T.)!#6018=EDGE_CURVE('',#4694,#4695,#273,.T.)!#6019=EDGE_CURVE('',#4696,#4695,#3638,.T.)!#6020=EDGE_CURVE('',#4696,#4697,#803,.T.)!#6021=EDGE_CURVE('',#4697,#4698,#3639,.T.)!#6022=EDGE_CURVE('',#4698,#4699,#3640,.T.)!#6023=EDGE_CURVE('',#4699,#4697,#3641,.T.)!#6024=EDGE_CURVE('',#4700,#4696,#3642,.T.)!#6025=EDGE_CURVE('',#4701,#4700,#3643,.T.)!#6026=EDGE_CURVE('',#4701,#4702,#274,.T.)!#6027=EDGE_CURVE('',#4702,#4703,#275,.T.)!#6028=EDGE_CURVE('',#4703,#4694,#804,.T.)!#6029=EDGE_CURVE('',#4704,#4705,#3644,.T.)!#6030=EDGE_CURVE('',#4705,#4699,#3645,.T.)!#6031=EDGE_CURVE('',#4705,#4706,#3646,.T.)!#6032=EDGE_CURVE('',#4706,#4704,#3647,.T.)!#6033=EDGE_CURVE('',#4706,#4707,#805,.T.)!#6034=EDGE_CURVE('',#4707,#4707,#3648,.T.)!#6035=EDGE_CURVE('',#4708,#4709,#3649,.T.)!#6036=EDGE_CURVE('',#4709,#4710,#3650,.T.)!#6037=EDGE_CURVE('',#4710,#4708,#3651,.T.)!#6038=EDGE_CURVE('',#4711,#4712,#3652,.T.)!#6039=EDGE_CURVE('',#4712,#4713,#806,.T.)!#6040=EDGE_CURVE('',#4713,#4709,#3653,.T.)!#6041=EDGE_CURVE('',#4708,#4713,#3654,.T.)!#6042=EDGE_CURVE('',#4712,#4711,#3655,.T.)!#6043=EDGE_CURVE('',#4708,#4709,#3656,.T.)!#6044=EDGE_CURVE('',#4710,#4700,#3657,.T.)!#6045=EDGE_CURVE('',#4695,#4701,#276,.T.)!#6046=EDGE_CURVE('',#4714,#4715,#3658,.T.)!#6047=EDGE_CURVE('',#4715,#4714,#3659,.T.)!#6048=EDGE_CURVE('',#4715,#4716,#807,.T.)!#6049=EDGE_CURVE('',#4716,#4717,#3660,.T.)!#6050=EDGE_CURVE('',#4717,#4716,#3661,.T.)!#6051=EDGE_CURVE('',#4716,#4702,#808,.T.)!#6052=EDGE_CURVE('',#4694,#4703,#3662,.T.)!#6053=EDGE_CURVE('',#4422,#4718,#809,.T.)!#6054=EDGE_CURVE('',#4718,#4719,#3663,.T.)!#6055=EDGE_CURVE('',#4719,#4720,#3664,.T.)!#6056=EDGE_CURVE('',#4720,#4718,#3665,.T.)!#6057=EDGE_CURVE('',#4721,#4722,#3666,.T.)!#6058=EDGE_CURVE('',#4722,#4720,#3667,.T.)!#6059=EDGE_CURVE('',#4722,#4721,#3668,.T.)!#6060=EDGE_CURVE('',#4723,#4724,#3669,.T.)!#6061=EDGE_CURVE('',#4724,#4723,#3670,.T.)!#6062=EDGE_CURVE('',#4725,#4726,#3671,.T.)!#6063=EDGE_CURVE('',#4726,#4724,#3672,.T.)!#6064=EDGE_CURVE('',#4726,#4727,#3673,.T.)!#6065=EDGE_CURVE('',#4727,#4725,#3674,.T.)!#6066=EDGE_CURVE('',#4728,#4729,#3675,.T.)!#6067=EDGE_CURVE('',#4729,#4730,#3676,.T.)!#6068=EDGE_CURVE('',#4730,#4727,#810,.T.)!#6069=EDGE_CURVE('',#4730,#4728,#3677,.T.)!#6070=EDGE_CURVE('',#4729,#4731,#3678,.T.)!#6071=EDGE_CURVE('',#4731,#4732,#3679,.T.)!#6072=EDGE_CURVE('',#4732,#4731,#3680,.T.)!#6073=EDGE_CURVE('',#4733,#4734,#3681,.T.)!#6074=EDGE_CURVE('',#4734,#4733,#3682,.T.)!#6075=EDGE_CURVE('',#4734,#4735,#811,.T.)!#6076=EDGE_CURVE('',#4735,#4736,#3683,.T.)!#6077=EDGE_CURVE('',#4736,#4737,#4049,.T.)!#6078=EDGE_CURVE('',#4737,#4738,#3684,.T.)!#6079=EDGE_CURVE('',#4738,#4739,#4050,.T.)!#6080=EDGE_CURVE('',#4739,#4740,#3685,.T.)!#6081=EDGE_CURVE('',#4740,#4741,#4051,.T.)!#6082=EDGE_CURVE('',#4741,#4742,#3686,.T.)!#6083=EDGE_CURVE('',#4742,#4743,#4052,.T.)!#6084=EDGE_CURVE('',#4743,#4735,#3687,.T.)!#6085=EDGE_CURVE('',#4744,#4745,#3688,.T.)!#6086=EDGE_CURVE('',#4745,#4746,#3689,.T.)!#6087=EDGE_CURVE('',#4746,#4667,#812,.T.)!#6088=EDGE_CURVE('',#4746,#4744,#3690,.T.)!#6089=EDGE_CURVE('',#4707,#4747,#813,.T.)!#6090=EDGE_CURVE('',#4748,#4747,#3691,.T.)!#6091=EDGE_CURVE('',#4749,#4748,#3692,.T.)!#6092=EDGE_CURVE('',#4747,#4749,#3693,.T.)!#6093=EDGE_CURVE('',#4745,#4748,#3694,.T.)!#6094=EDGE_CURVE('',#4712,#4750,#814,.T.)!#6095=EDGE_CURVE('',#4751,#4750,#3695,.T.)!#6096=EDGE_CURVE('',#4752,#4751,#3696,.T.)!#6097=EDGE_CURVE('',#4750,#4752,#3697,.T.)!#6098=EDGE_CURVE('',#4753,#4754,#3698,.T.)!#6099=EDGE_CURVE('',#4755,#4753,#3699,.T.)!#6100=EDGE_CURVE('',#4754,#4755,#3700,.T.)!#6101=EDGE_CURVE('',#4754,#4751,#3701,.T.)!#6102=EDGE_CURVE('',#4755,#4756,#815,.T.)!#6103=EDGE_CURVE('',#4757,#4756,#3702,.T.)!#6104=EDGE_CURVE('',#4758,#4757,#3703,.T.)!#6105=EDGE_CURVE('',#4756,#4758,#3704,.T.)!#6106=EDGE_CURVE('',#4759,#4760,#3705,.T.)!#6107=EDGE_CURVE('',#4761,#4759,#3706,.T.)!#6108=EDGE_CURVE('',#4760,#4761,#3707,.T.)!#6109=EDGE_CURVE('',#4760,#4757,#3708,.T.)!#6110=EDGE_CURVE('',#4762,#4763,#816,.T.)!#6111=EDGE_CURVE('',#4763,#4764,#3709,.T.)!#6112=EDGE_CURVE('',#4764,#4765,#817,.T.)!#6113=EDGE_CURVE('',#4762,#4765,#3710,.T.)!#6114=EDGE_CURVE('',#4766,#4767,#818,.T.)!#6115=EDGE_CURVE('',#4768,#4767,#3711,.T.)!#6116=EDGE_CURVE('',#4768,#4769,#819,.T.)!#6117=EDGE_CURVE('',#4769,#4766,#3712,.T.)!#6118=EDGE_CURVE('',#4763,#4770,#820,.T.)!#6119=EDGE_CURVE('',#4770,#4771,#3713,.T.)!#6120=EDGE_CURVE('',#4771,#4764,#821,.T.)!#6121=EDGE_CURVE('',#4772,#4766,#822,.T.)!#6122=EDGE_CURVE('',#4769,#4773,#823,.T.)!#6123=EDGE_CURVE('',#4773,#4772,#3714,.T.)!#6124=EDGE_CURVE('',#4737,#4762,#824,.T.)!#6125=EDGE_CURVE('',#4765,#4738,#825,.T.)!#6126=EDGE_CURVE('',#4767,#4742,#826,.T.)!#6127=EDGE_CURVE('',#4741,#4768,#827,.T.)!#6128=EDGE_CURVE('',#4770,#4774,#4053,.T.)!#6129=EDGE_CURVE('',#4774,#4775,#3715,.T.)!#6130=EDGE_CURVE('',#4775,#4761,#828,.T.)!#6131=EDGE_CURVE('',#4775,#4776,#3716,.T.)!#6132=EDGE_CURVE('',#4776,#4772,#4054,.T.)!#6133=EDGE_CURVE('',#4773,#4777,#4055,.T.)!#6134=EDGE_CURVE('',#4777,#4778,#3717,.T.)!#6135=EDGE_CURVE('',#4778,#4771,#4056,.T.)!#6136=EDGE_CURVE('',#4779,#4780,#277,.T.)!#6137=EDGE_CURVE('',#4781,#4780,#3718,.T.)!#6138=EDGE_CURVE('',#4782,#4781,#3719,.T.)!#6139=EDGE_CURVE('',#4783,#4782,#3720,.T.)!#6140=EDGE_CURVE('',#4783,#4784,#278,.T.)!#6141=EDGE_CURVE('',#4784,#4779,#3721,.T.)!#6142=EDGE_CURVE('',#4785,#4786,#279,.T.)!#6143=EDGE_CURVE('',#4787,#4786,#3722,.T.)!#6144=EDGE_CURVE('',#4787,#4788,#280,.T.)!#6145=EDGE_CURVE('',#4788,#4789,#3723,.T.)!#6146=EDGE_CURVE('',#4789,#4790,#3724,.T.)!#6147=EDGE_CURVE('',#4790,#4785,#3725,.T.)!#6148=EDGE_CURVE('',#4791,#4779,#4057,.T.)!#6149=EDGE_CURVE('',#4784,#4792,#4058,.T.)!#6150=EDGE_CURVE('',#4792,#4791,#3726,.T.)!#6151=EDGE_CURVE('',#4786,#4793,#4059,.T.)!#6152=EDGE_CURVE('',#4794,#4793,#3727,.T.)!#6153=EDGE_CURVE('',#4794,#4787,#4060,.T.)!#6154=EDGE_CURVE('',#4792,#4740,#829,.T.)!#6155=EDGE_CURVE('',#4788,#4783,#830,.T.)!#6156=EDGE_CURVE('',#4777,#4794,#831,.T.)!#6157=EDGE_CURVE('',#4793,#4778,#832,.T.)!#6158=EDGE_CURVE('',#4795,#4785,#833,.T.)!#6159=EDGE_CURVE('',#4796,#4795,#834,.T.)!#6160=EDGE_CURVE('',#4780,#4796,#835,.T.)!#6161=EDGE_CURVE('',#4739,#4791,#836,.T.)!#6162=EDGE_CURVE('',#4797,#4798,#281,.T.)!#6163=EDGE_CURVE('',#4798,#4799,#3728,.T.)!#6164=EDGE_CURVE('',#4799,#4800,#3729,.T.)!#6165=EDGE_CURVE('',#4800,#4801,#3730,.T.)!#6166=EDGE_CURVE('',#4801,#4802,#3731,.T.)!#6167=EDGE_CURVE('',#4802,#4803,#282,.T.)!#6168=EDGE_CURVE('',#4797,#4803,#3732,.T.)!#6169=EDGE_CURVE('',#4804,#4797,#4061,.T.)!#6170=EDGE_CURVE('',#4803,#4805,#4062,.T.)!#6171=EDGE_CURVE('',#4804,#4805,#3733,.T.)!#6172=EDGE_CURVE('',#4806,#4807,#4063,.T.)!#6173=EDGE_CURVE('',#4807,#4808,#3734,.T.)!#6174=EDGE_CURVE('',#4808,#4809,#4064,.T.)!#6175=EDGE_CURVE('',#4806,#4809,#3735,.T.)!#6176=EDGE_CURVE('',#4810,#4806,#283,.T.)!#6177=EDGE_CURVE('',#4809,#4811,#284,.T.)!#6178=EDGE_CURVE('',#4812,#4811,#3736,.T.)!#6179=EDGE_CURVE('',#4813,#4812,#3737,.T.)!#6180=EDGE_CURVE('',#4814,#4813,#3738,.T.)!#6181=EDGE_CURVE('',#4810,#4814,#3739,.T.)!#6182=EDGE_CURVE('',#4807,#4736,#837,.T.)!#6183=EDGE_CURVE('',#4798,#4810,#838,.T.)!#6184=EDGE_CURVE('',#4774,#4804,#839,.T.)!#6185=EDGE_CURVE('',#4743,#4808,#840,.T.)!#6186=EDGE_CURVE('',#4805,#4776,#841,.T.)!#6187=EDGE_CURVE('',#4811,#4802,#842,.T.)!#6188=EDGE_CURVE('',#4801,#4812,#843,.T.)!#6189=EDGE_CURVE('',#4815,#4816,#844,.T.)!#6190=EDGE_CURVE('',#4817,#4815,#845,.T.)!#6191=EDGE_CURVE('',#4818,#4817,#846,.T.)!#6192=EDGE_CURVE('',#4816,#4818,#847,.T.)!#6193=EDGE_CURVE('',#4799,#4814,#848,.T.)!#6194=EDGE_CURVE('',#4815,#4799,#849,.T.)!#6195=EDGE_CURVE('',#4814,#4816,#850,.T.)!#6196=EDGE_CURVE('',#4819,#4820,#3740,.T.)!#6197=EDGE_CURVE('',#4821,#4820,#851,.T.)!#6198=EDGE_CURVE('',#4821,#4822,#3741,.T.)!#6199=EDGE_CURVE('',#4822,#4823,#852,.T.)!#6200=EDGE_CURVE('',#4818,#4823,#3742,.T.)!#6201=EDGE_CURVE('',#4824,#4817,#3743,.T.)!#6202=EDGE_CURVE('',#4824,#4819,#853,.T.)!#6203=EDGE_CURVE('',#4819,#4795,#3744,.T.)!#6204=EDGE_CURVE('',#4825,#4795,#3745,.T.)!#6205=EDGE_CURVE('',#4825,#4826,#854,.T.)!#6206=EDGE_CURVE('',#4826,#4820,#855,.T.)!#6207=EDGE_CURVE('',#4827,#4826,#856,.T.)!#6208=EDGE_CURVE('',#4828,#4825,#857,.T.)!#6209=EDGE_CURVE('',#4827,#4828,#858,.T.)!#6210=EDGE_CURVE('',#4821,#4827,#859,.T.)!#6211=EDGE_CURVE('',#4796,#4828,#3746,.T.)!#6212=EDGE_CURVE('',#4796,#4822,#3747,.T.)!#6213=EDGE_CURVE('',#4823,#4810,#3748,.T.)!#6214=EDGE_CURVE('',#4829,#4830,#860,.T.)!#6215=EDGE_CURVE('',#4830,#4831,#861,.T.)!#6216=EDGE_CURVE('',#4831,#4832,#862,.T.)!#6217=EDGE_CURVE('',#4832,#4833,#863,.T.)!#6218=EDGE_CURVE('',#4833,#4834,#864,.T.)!#6219=EDGE_CURVE('',#4834,#4829,#865,.T.)!#6220=EDGE_CURVE('',#4798,#4824,#3749,.T.)!#6221=EDGE_CURVE('',#4813,#4800,#866,.T.)!#6222=EDGE_CURVE('',#4782,#4789,#867,.T.)!#6223=EDGE_CURVE('',#4790,#4781,#868,.T.)!#6224=EDGE_CURVE('',#4812,#4835,#3750,.T.)!#6225=EDGE_CURVE('',#4835,#4836,#869,.T.)!#6226=EDGE_CURVE('',#4836,#4813,#870,.T.)!#6227=EDGE_CURVE('',#4835,#4837,#871,.T.)!#6228=EDGE_CURVE('',#4837,#4801,#3751,.T.)!#6229=EDGE_CURVE('',#4838,#4839,#285,.T.)!#6230=EDGE_CURVE('',#4839,#4838,#872,.T.)!#6231=EDGE_CURVE('',#4836,#4840,#873,.T.)!#6232=EDGE_CURVE('',#4840,#4837,#874,.T.)!#6233=EDGE_CURVE('',#4800,#4840,#875,.T.)!#6234=EDGE_CURVE('',#4841,#4842,#3752,.T.)!#6235=EDGE_CURVE('',#4842,#4843,#876,.T.)!#6236=EDGE_CURVE('',#4843,#4844,#3753,.T.)!#6237=EDGE_CURVE('',#4844,#4845,#3754,.T.)!#6238=EDGE_CURVE('',#4845,#4846,#877,.T.)!#6239=EDGE_CURVE('',#4846,#4841,#878,.T.)!#6240=EDGE_CURVE('',#4847,#4789,#879,.T.)!#6241=EDGE_CURVE('',#4782,#4843,#880,.T.)!#6242=EDGE_CURVE('',#4848,#4842,#881,.T.)!#6243=EDGE_CURVE('',#4847,#4848,#882,.T.)!#6244=EDGE_CURVE('',#4849,#4850,#883,.T.)!#6245=EDGE_CURVE('',#4850,#4851,#884,.T.)!#6246=EDGE_CURVE('',#4851,#4845,#885,.T.)!#6247=EDGE_CURVE('',#4781,#4844,#886,.T.)!#6248=EDGE_CURVE('',#4852,#4790,#887,.T.)!#6249=EDGE_CURVE('',#4849,#4852,#3755,.T.)!#6250=EDGE_CURVE('',#4853,#4854,#888,.T.)!#6251=EDGE_CURVE('',#4841,#4854,#889,.T.)!#6252=EDGE_CURVE('',#4853,#4846,#890,.T.)!#6253=EDGE_CURVE('',#4848,#4855,#3756,.T.)!#6254=EDGE_CURVE('',#4855,#4856,#891,.T.)!#6255=EDGE_CURVE('',#4856,#4849,#892,.T.)!#6256=EDGE_CURVE('',#4852,#4847,#3757,.T.)!#6257=EDGE_CURVE('',#4857,#4858,#893,.T.)!#6258=EDGE_CURVE('',#4856,#4858,#894,.T.)!#6259=EDGE_CURVE('',#4857,#4855,#895,.T.)!#6260=EDGE_CURVE('',#4854,#4859,#3758,.T.)!#6261=EDGE_CURVE('',#4859,#4860,#286,.T.)!#6262=EDGE_CURVE('',#4860,#4857,#3759,.T.)!#6263=EDGE_CURVE('',#4861,#4853,#896,.T.)!#6264=EDGE_CURVE('',#4862,#4861,#897,.T.)!#6265=EDGE_CURVE('',#4862,#4859,#898,.T.)!#6266=EDGE_CURVE('',#4863,#4860,#899,.T.)!#6267=EDGE_CURVE('',#4864,#4863,#900,.T.)!#6268=EDGE_CURVE('',#4858,#4864,#901,.T.)!#6269=EDGE_CURVE('',#4863,#4862,#3760,.T.)!#6270=EDGE_CURVE('',#4851,#4865,#902,.T.)!#6271=EDGE_CURVE('',#4865,#4866,#903,.T.)!#6272=EDGE_CURVE('',#4866,#4861,#904,.T.)!#6273=EDGE_CURVE('',#4867,#4850,#905,.T.)!#6274=EDGE_CURVE('',#4864,#4868,#906,.T.)!#6275=EDGE_CURVE('',#4868,#4867,#907,.T.)!#6276=EDGE_CURVE('',#4869,#4870,#908,.T.)!#6277=EDGE_CURVE('',#4869,#4865,#909,.T.)!#6278=EDGE_CURVE('',#4871,#4851,#910,.T.)!#6279=EDGE_CURVE('',#4870,#4871,#911,.T.)!#6280=EDGE_CURVE('',#4872,#4869,#912,.T.)!#6281=EDGE_CURVE('',#4872,#4866,#913,.T.)!#6282=EDGE_CURVE('',#4873,#4872,#914,.T.)!#6283=EDGE_CURVE('',#4873,#4874,#915,.T.)!#6284=EDGE_CURVE('',#4861,#4874,#916,.T.)!#6285=EDGE_CURVE('',#4839,#4875,#3761,.T.)!#6286=EDGE_CURVE('',#4875,#4838,#3762,.T.)!#6287=EDGE_CURVE('',#4876,#4877,#917,.T.)!#6288=EDGE_CURVE('',#4878,#4876,#918,.T.)!#6289=EDGE_CURVE('',#4879,#4878,#919,.T.)!#6290=EDGE_CURVE('',#4880,#4879,#920,.T.)!#6291=EDGE_CURVE('',#4881,#4880,#921,.T.)!#6292=EDGE_CURVE('',#4877,#4881,#922,.T.)!#6293=EDGE_CURVE('',#4870,#4882,#923,.T.)!#6294=EDGE_CURVE('',#4850,#4871,#924,.T.)!#6295=EDGE_CURVE('',#4882,#4867,#925,.T.)!#6296=EDGE_CURVE('',#4882,#4883,#926,.T.)!#6297=EDGE_CURVE('',#4883,#4868,#927,.T.)!#6298=EDGE_CURVE('',#4883,#4873,#928,.T.)!#6299=EDGE_CURVE('',#4874,#4864,#929,.T.)!#6300=EDGE_CURVE('',#4879,#4873,#930,.T.)!#6301=EDGE_CURVE('',#4878,#4883,#931,.T.)!#6302=EDGE_CURVE('',#4880,#4872,#932,.T.)!#6303=EDGE_CURVE('',#4882,#4876,#933,.T.)!#6304=EDGE_CURVE('',#4881,#4869,#934,.T.)!#6305=EDGE_CURVE('',#4877,#4870,#935,.T.)!#6306=EDGE_CURVE('',#4884,#4884,#3763,.T.)!#6307=EDGE_CURVE('',#4875,#4884,#936,.T.)!#6308=EDGE_CURVE('',#4885,#4886,#3764,.T.)!#6309=EDGE_CURVE('',#4886,#4887,#3765,.T.)!#6310=EDGE_CURVE('',#4887,#4888,#3766,.T.)!#6311=EDGE_CURVE('',#4888,#4889,#3767,.T.)!#6312=EDGE_CURVE('',#4889,#4890,#3768,.T.)!#6313=EDGE_CURVE('',#4890,#4885,#3769,.T.)!#6314=EDGE_CURVE('',#4889,#4891,#4065,.T.)!#6315=EDGE_CURVE('',#4829,#4891,#937,.T.)!#6316=EDGE_CURVE('',#4834,#4892,#938,.T.)!#6317=EDGE_CURVE('',#4892,#4889,#4066,.T.)!#6318=EDGE_CURVE('',#4890,#4892,#4067,.T.)!#6319=EDGE_CURVE('',#4833,#4893,#939,.T.)!#6320=EDGE_CURVE('',#4893,#4890,#4068,.T.)!#6321=EDGE_CURVE('',#4885,#4893,#4069,.T.)!#6322=EDGE_CURVE('',#4832,#4894,#940,.T.)!#6323=EDGE_CURVE('',#4894,#4885,#4070,.T.)!#6324=EDGE_CURVE('',#4895,#4886,#4071,.T.)!#6325=EDGE_CURVE('',#4886,#4894,#4072,.T.)!#6326=EDGE_CURVE('',#4831,#4895,#941,.T.)!#6327=EDGE_CURVE('',#4896,#4887,#4073,.T.)!#6328=EDGE_CURVE('',#4887,#4895,#4074,.T.)!#6329=EDGE_CURVE('',#4830,#4896,#942,.T.)!#6330=EDGE_CURVE('',#4891,#4888,#4075,.T.)!#6331=EDGE_CURVE('',#4888,#4896,#4076,.T.)!#6332=EDGE_CURVE('',#4897,#4897,#3770,.T.)!#6333=EDGE_CURVE('',#4898,#4899,#3771,.T.)!#6334=EDGE_CURVE('',#4899,#4898,#3772,.T.)!#6335=EDGE_CURVE('',#4899,#4900,#943,.T.)!#6336=EDGE_CURVE('',#4900,#4901,#3773,.T.)!#6337=EDGE_CURVE('',#4901,#4900,#3774,.T.)!#6338=EDGE_CURVE('',#4902,#4903,#3775,.T.)!#6339=EDGE_CURVE('',#4903,#4902,#3776,.T.)!#6340=EDGE_CURVE('',#4903,#4904,#944,.T.)!#6341=EDGE_CURVE('',#4904,#4905,#3777,.T.)!#6342=EDGE_CURVE('',#4905,#4906,#945,.T.)!#6343=EDGE_CURVE('',#4906,#4907,#3778,.T.)!#6344=EDGE_CURVE('',#4907,#4908,#946,.T.)!#6345=EDGE_CURVE('',#4908,#4909,#3779,.T.)!#6346=EDGE_CURVE('',#4909,#4908,#3780,.T.)!#6347=EDGE_CURVE('',#4907,#4910,#3781,.T.)!#6348=EDGE_CURVE('',#4910,#4911,#947,.T.)!#6349=EDGE_CURVE('',#4911,#4904,#3782,.T.)!#6350=EDGE_CURVE('',#4912,#4913,#287,.T.)!#6351=EDGE_CURVE('',#4913,#4912,#288,.T.)!#6352=EDGE_CURVE('',#4914,#4915,#948,.T.)!#6353=EDGE_CURVE('',#4915,#4916,#3783,.T.)!#6354=EDGE_CURVE('',#4916,#4917,#949,.T.)!#6355=EDGE_CURVE('',#4917,#4914,#3784,.T.)!#6356=EDGE_CURVE('',#4918,#4919,#3785,.T.)!#6357=EDGE_CURVE('',#4919,#4918,#3786,.T.)!#6358=EDGE_CURVE('',#4920,#4381,#950,.T.)!#6359=EDGE_CURVE('',#4921,#4920,#951,.T.)!#6360=EDGE_CURVE('',#4385,#4921,#952,.T.)!#6361=EDGE_CURVE('',#4388,#4922,#953,.T.)!#6362=EDGE_CURVE('',#4923,#4387,#954,.T.)!#6363=EDGE_CURVE('',#4923,#4922,#955,.T.)!#6364=EDGE_CURVE('',#4924,#4925,#3787,.T.)!#6365=EDGE_CURVE('',#4924,#4926,#956,.T.)!#6366=EDGE_CURVE('',#4927,#4926,#3788,.T.)!#6367=EDGE_CURVE('',#4925,#4927,#957,.T.)!#6368=EDGE_CURVE('',#4928,#4924,#958,.T.)!#6369=EDGE_CURVE('',#4928,#4929,#959,.T.)!#6370=EDGE_CURVE('',#4926,#4929,#960,.T.)!#6371=EDGE_CURVE('',#4930,#4916,#3789,.T.)!#6372=EDGE_CURVE('',#4930,#4931,#961,.T.)!#6373=EDGE_CURVE('',#4917,#4931,#3790,.T.)!#6374=EDGE_CURVE('',#4932,#4930,#962,.T.)!#6375=EDGE_CURVE('',#4932,#4933,#963,.T.)!#6376=EDGE_CURVE('',#4931,#4933,#964,.T.)!#6377=EDGE_CURVE('',#4934,#4932,#3791,.T.)!#6378=EDGE_CURVE('',#4934,#4935,#965,.T.)!#6379=EDGE_CURVE('',#4933,#4935,#3792,.T.)!#6380=EDGE_CURVE('',#4936,#4937,#3793,.T.)!#6381=EDGE_CURVE('',#4935,#4936,#966,.T.)!#6382=EDGE_CURVE('',#4938,#4934,#967,.T.)!#6383=EDGE_CURVE('',#4939,#4938,#3794,.T.)!#6384=EDGE_CURVE('',#4939,#4937,#968,.T.)!#6385=EDGE_CURVE('',#4940,#4941,#969,.T.)!#6386=EDGE_CURVE('',#4941,#4942,#970,.T.)!#6387=EDGE_CURVE('',#4942,#4943,#971,.T.)!#6388=EDGE_CURVE('',#4943,#4944,#972,.T.)!#6389=EDGE_CURVE('',#4944,#4945,#973,.T.)!#6390=EDGE_CURVE('',#4945,#4940,#974,.T.)!#6391=EDGE_CURVE('',#4946,#4946,#3795,.T.)!#6392=EDGE_CURVE('',#4937,#4325,#975,.T.)!#6393=EDGE_CURVE('',#4326,#4939,#976,.T.)!#6394=EDGE_CURVE('',#4947,#4333,#3796,.T.)!#6395=EDGE_CURVE('',#4947,#4948,#977,.T.)!#6396=EDGE_CURVE('',#4334,#4948,#3797,.T.)!#6397=EDGE_CURVE('',#4949,#4947,#978,.T.)!#6398=EDGE_CURVE('',#4949,#4950,#979,.T.)!#6399=EDGE_CURVE('',#4948,#4950,#980,.T.)!#6400=EDGE_CURVE('',#4951,#4949,#3798,.T.)!#6401=EDGE_CURVE('',#4951,#4952,#981,.T.)!#6402=EDGE_CURVE('',#4950,#4952,#3799,.T.)!#6403=EDGE_CURVE('',#4953,#4954,#982,.T.)!#6404=EDGE_CURVE('',#4955,#4953,#983,.T.)!#6405=EDGE_CURVE('',#4956,#4955,#984,.T.)!#6406=EDGE_CURVE('',#4954,#4956,#985,.T.)!#6407=EDGE_CURVE('',#4957,#4958,#3800,.T.)!#6408=EDGE_CURVE('',#4957,#4959,#986,.T.)!#6409=EDGE_CURVE('',#4960,#4959,#3801,.T.)!#6410=EDGE_CURVE('',#4958,#4960,#987,.T.)!#6411=EDGE_CURVE('',#4961,#4957,#988,.T.)!#6412=EDGE_CURVE('',#4961,#4962,#989,.T.)!#6413=EDGE_CURVE('',#4959,#4962,#990,.T.)!#6414=EDGE_CURVE('',#4921,#4961,#3802,.T.)!#6415=EDGE_CURVE('',#4962,#4920,#3803,.T.)!#6416=EDGE_CURVE('',#4929,#4914,#3804,.T.)!#6417=EDGE_CURVE('',#4915,#4928,#3805,.T.)!#6418=EDGE_CURVE('',#4963,#4964,#3806,.T.)!#6419=EDGE_CURVE('',#4964,#4905,#991,.T.)!#6420=EDGE_CURVE('',#4911,#4965,#992,.T.)!#6421=EDGE_CURVE('',#4965,#4966,#3807,.T.)!#6422=EDGE_CURVE('',#4967,#4966,#993,.T.)!#6423=EDGE_CURVE('',#4967,#4968,#994,.T.)!#6424=EDGE_CURVE('',#4969,#4968,#995,.T.)!#6425=EDGE_CURVE('',#4970,#4969,#996,.T.)!#6426=EDGE_CURVE('',#4971,#4970,#997,.T.)!#6427=EDGE_CURVE('',#4971,#4972,#998,.T.)!#6428=EDGE_CURVE('',#4963,#4972,#999,.T.)!#6429=EDGE_CURVE('',#4973,#4965,#1000,.T.)!#6430=EDGE_CURVE('',#4973,#4910,#1001,.T.)!#6431=EDGE_CURVE('',#4974,#4973,#3808,.T.)!#6432=EDGE_CURVE('',#4975,#4974,#289,.F.)!#6433=EDGE_CURVE('',#4976,#4975,#1002,.T.)!#6434=EDGE_CURVE('',#4966,#4976,#290,.F.)!#6435=EDGE_CURVE('',#4968,#4977,#3809,.T.)!#6436=EDGE_CURVE('',#4978,#4967,#3810,.F.)!#6437=EDGE_CURVE('',#4978,#4979,#1003,.T.)!#6438=EDGE_CURVE('',#4980,#4979,#3811,.F.)!#6439=EDGE_CURVE('',#4981,#4980,#1004,.T.)!#6440=EDGE_CURVE('',#4982,#4981,#3812,.T.)!#6441=EDGE_CURVE('',#4977,#4982,#1005,.T.)!#6442=EDGE_CURVE('',#4977,#4983,#1006,.T.)!#6443=EDGE_CURVE('',#4984,#4982,#1007,.T.)!#6444=EDGE_CURVE('',#4983,#4984,#1008,.T.)!#6445=EDGE_CURVE('',#4964,#4985,#1009,.T.)!#6446=EDGE_CURVE('',#4986,#4963,#291,.F.)!#6447=EDGE_CURVE('',#4986,#4987,#1010,.T.)!#6448=EDGE_CURVE('',#4988,#4987,#292,.F.)!#6449=EDGE_CURVE('',#4985,#4988,#3813,.T.)!#6450=EDGE_CURVE('',#4906,#4985,#1011,.T.)!#6451=EDGE_CURVE('',#4989,#4990,#3814,.T.)!#6452=EDGE_CURVE('',#4990,#4989,#3815,.T.)!#6453=EDGE_CURVE('',#4991,#4992,#3816,.T.)!#6454=EDGE_CURVE('',#4992,#4991,#3817,.T.)!#6455=EDGE_CURVE('',#4992,#4993,#1012,.T.)!#6456=EDGE_CURVE('',#4993,#4994,#3818,.T.)!#6457=EDGE_CURVE('',#4994,#4993,#3819,.T.)!#6458=EDGE_CURVE('',#4995,#4996,#3820,.T.)!#6459=EDGE_CURVE('',#4996,#4995,#3821,.T.)!#6460=EDGE_CURVE('',#4996,#4997,#1013,.T.)!#6461=EDGE_CURVE('',#4997,#4998,#293,.T.)!#6462=EDGE_CURVE('',#4998,#4999,#294,.T.)!#6463=EDGE_CURVE('',#4999,#4997,#295,.T.)!#6464=EDGE_CURVE('',#4913,#4990,#1014,.T.)!#6465=EDGE_CURVE('',#4998,#5000,#3822,.T.)!#6466=EDGE_CURVE('',#5000,#4999,#3823,.T.)!#6467=EDGE_CURVE('',#5000,#5000,#3824,.T.)!#6468=EDGE_CURVE('',#4380,#5001,#1015,.T.)!#6469=EDGE_CURVE('',#5001,#5001,#3825,.T.)!#6470=EDGE_CURVE('',#4322,#4946,#1016,.T.)!#6471=EDGE_CURVE('',#4919,#5002,#1017,.T.)!#6472=EDGE_CURVE('',#5003,#5002,#3826,.T.)!#6473=EDGE_CURVE('',#5004,#5003,#3827,.T.)!#6474=EDGE_CURVE('',#5002,#5004,#3828,.T.)!#6475=EDGE_CURVE('',#5005,#5006,#3829,.T.)!#6476=EDGE_CURVE('',#5006,#5005,#3830,.T.)!#6477=EDGE_CURVE('',#5004,#5006,#3831,.T.)!#6478=EDGE_CURVE('',#4386,#5007,#1018,.T.)!#6479=EDGE_CURVE('',#5007,#4923,#3832,.T.)!#6480=EDGE_CURVE('',#4922,#5008,#3833,.T.)!#6481=EDGE_CURVE('',#4925,#5007,#1019,.T.)!#6482=EDGE_CURVE('',#5008,#4927,#1020,.T.)!#6483=EDGE_CURVE('',#5009,#5010,#1021,.T.)!#6484=EDGE_CURVE('',#5010,#5011,#1022,.T.)!#6485=EDGE_CURVE('',#5011,#5012,#1023,.T.)!#6486=EDGE_CURVE('',#5012,#5013,#1024,.T.)!#6487=EDGE_CURVE('',#5013,#5014,#1025,.T.)!#6488=EDGE_CURVE('',#5014,#5009,#1026,.T.)!#6489=EDGE_CURVE('',#5008,#4382,#1027,.T.)!#6490=EDGE_CURVE('',#4938,#4327,#1028,.T.)!#6491=EDGE_CURVE('',#4323,#4936,#1029,.T.)!#6492=EDGE_CURVE('',#5015,#4953,#3834,.T.)!#6493=EDGE_CURVE('',#5015,#4951,#1030,.T.)!#6494=EDGE_CURVE('',#4958,#5016,#1031,.T.)!#6495=EDGE_CURVE('',#4955,#5016,#3835,.T.)!#6496=EDGE_CURVE('',#5016,#5017,#1032,.T.)!#6497=EDGE_CURVE('',#5017,#4960,#1033,.T.)!#6498=EDGE_CURVE('',#5017,#4956,#3836,.T.)!#6499=EDGE_CURVE('',#4954,#5018,#3837,.T.)!#6500=EDGE_CURVE('',#4952,#5018,#1034,.T.)!#6501=EDGE_CURVE('',#5018,#5015,#1035,.T.)!#6502=EDGE_CURVE('',#5019,#5020,#3838,.T.)!#6503=EDGE_CURVE('',#5021,#5019,#1036,.T.)!#6504=EDGE_CURVE('',#5022,#5021,#3839,.T.)!#6505=EDGE_CURVE('',#5023,#5022,#1037,.T.)!#6506=EDGE_CURVE('',#5024,#5023,#3840,.T.)!#6507=EDGE_CURVE('',#5025,#5024,#1038,.T.)!#6508=EDGE_CURVE('',#5026,#5025,#3841,.T.)!#6509=EDGE_CURVE('',#5020,#5026,#1039,.T.)!#6510=EDGE_CURVE('',#5027,#5028,#1040,.T.)!#6511=EDGE_CURVE('',#5029,#5028,#1041,.T.)!#6512=EDGE_CURVE('',#5030,#5029,#1042,.T.)!#6513=EDGE_CURVE('',#4981,#5030,#1043,.T.)!#6514=EDGE_CURVE('',#4974,#4980,#1044,.T.)!#6515=EDGE_CURVE('',#5027,#4988,#1045,.T.)!#6516=EDGE_CURVE('',#5031,#4971,#3842,.T.)!#6517=EDGE_CURVE('',#5031,#5032,#1046,.T.)!#6518=EDGE_CURVE('',#5028,#5032,#3843,.T.)!#6519=EDGE_CURVE('',#5033,#5027,#3844,.F.)!#6520=EDGE_CURVE('',#5034,#5033,#1047,.T.)!#6521=EDGE_CURVE('',#4972,#5034,#3845,.F.)!#6522=EDGE_CURVE('',#5035,#5031,#1048,.T.)!#6523=EDGE_CURVE('',#5036,#5035,#1049,.T.)!#6524=EDGE_CURVE('',#5032,#5036,#1050,.T.)!#6525=EDGE_CURVE('',#5036,#5029,#3846,.F.)!#6526=EDGE_CURVE('',#5022,#5030,#3847,.T.)!#6527=EDGE_CURVE('',#5029,#5023,#3848,.T.)!#6528=EDGE_CURVE('',#5030,#4984,#3849,.F.)!#6529=EDGE_CURVE('',#4983,#4969,#3850,.F.)!#6530=EDGE_CURVE('',#5026,#4970,#3851,.T.)!#6531=EDGE_CURVE('',#4969,#5020,#3852,.T.)!#6532=EDGE_CURVE('',#4984,#5021,#3853,.T.)!#6533=EDGE_CURVE('',#5019,#4983,#3854,.T.)!#6534=EDGE_CURVE('',#4970,#5035,#3855,.F.)!#6535=EDGE_CURVE('',#5024,#5036,#3856,.T.)!#6536=EDGE_CURVE('',#5035,#5025,#3857,.T.)!#6537=EDGE_CURVE('',#4976,#4978,#1051,.T.)!#6538=EDGE_CURVE('',#4979,#4975,#1052,.T.)!#6539=EDGE_CURVE('',#4987,#5033,#1053,.T.)!#6540=EDGE_CURVE('',#5034,#4986,#1054,.T.)!#6541=EDGE_CURVE('',#4692,#5037,#1055,.T.)!#6542=EDGE_CURVE('',#5037,#5038,#3858,.T.)!#6543=EDGE_CURVE('',#5038,#5039,#3859,.T.)!#6544=EDGE_CURVE('',#5039,#5037,#3860,.T.)!#6545=EDGE_CURVE('',#5040,#5041,#3861,.T.)!#6546=EDGE_CURVE('',#5041,#5039,#3862,.T.)!#6547=EDGE_CURVE('',#5041,#5042,#3863,.T.)!#6548=EDGE_CURVE('',#5042,#5040,#3864,.T.)!#6549=EDGE_CURVE('',#5042,#5043,#1056,.T.)!#6550=EDGE_CURVE('',#5043,#5044,#3865,.T.)!#6551=EDGE_CURVE('',#5044,#5043,#3866,.T.)!#6552=EDGE_CURVE('',#5045,#5046,#3867,.T.)!#6553=EDGE_CURVE('',#5046,#5045,#3868,.T.)!#6554=EDGE_CURVE('',#5046,#5047,#1057,.T.)!#6555=EDGE_CURVE('',#5047,#5048,#3869,.T.)!#6556=EDGE_CURVE('',#5048,#5049,#3870,.T.)!#6557=EDGE_CURVE('',#5049,#5050,#3871,.T.)!#6558=EDGE_CURVE('',#5050,#5051,#3872,.T.)!#6559=EDGE_CURVE('',#5051,#5052,#3873,.T.)!#6560=EDGE_CURVE('',#5052,#5053,#3874,.T.)!#6561=EDGE_CURVE('',#5053,#5054,#3875,.T.)!#6562=EDGE_CURVE('',#5054,#5055,#3876,.T.)!#6563=EDGE_CURVE('',#5055,#5047,#3877,.T.)!#6564=EDGE_CURVE('',#5050,#5056,#3878,.T.)!#6565=EDGE_CURVE('',#5056,#5051,#3879,.T.)!#6566=EDGE_CURVE('',#5048,#5057,#3880,.T.)!#6567=EDGE_CURVE('',#5057,#5049,#3881,.T.)!#6568=EDGE_CURVE('',#5052,#5058,#3882,.T.)!#6569=EDGE_CURVE('',#5058,#5053,#3883,.T.)!#6570=EDGE_CURVE('',#5054,#5059,#3884,.T.)!#6571=EDGE_CURVE('',#5059,#5055,#3885,.T.)!#6572=EDGE_CURVE('',#5060,#5060,#3886,.T.)!#6573=EDGE_CURVE('',#5061,#5061,#3887,.T.)!#6574=EDGE_CURVE('',#5062,#5062,#3888,.T.)!#6575=EDGE_CURVE('',#5063,#5064,#3889,.T.)!#6576=EDGE_CURVE('',#5064,#5063,#3890,.T.)!#6577=EDGE_CURVE('',#5064,#5065,#1058,.T.)!#6578=EDGE_CURVE('',#5065,#5066,#3891,.T.)!#6579=EDGE_CURVE('',#5066,#5065,#3892,.T.)!#6580=EDGE_CURVE('',#5055,#5054,#3893,.T.)!#6581=EDGE_CURVE('',#5059,#5067,#1059,.T.)!#6582=EDGE_CURVE('',#5068,#5067,#3894,.T.)!#6583=EDGE_CURVE('',#5067,#5068,#3895,.T.)!#6584=EDGE_CURVE('',#5069,#5069,#3896,.T.)!#6585=EDGE_CURVE('',#5053,#5052,#3897,.T.)!#6586=EDGE_CURVE('',#5058,#5070,#1060,.T.)!#6587=EDGE_CURVE('',#5071,#5070,#3898,.T.)!#6588=EDGE_CURVE('',#5070,#5071,#3899,.T.)!#6589=EDGE_CURVE('',#5072,#5072,#3900,.T.)!#6590=EDGE_CURVE('',#5051,#5050,#3901,.T.)!#6591=EDGE_CURVE('',#5056,#5073,#1061,.T.)!#6592=EDGE_CURVE('',#5074,#5073,#3902,.T.)!#6593=EDGE_CURVE('',#5073,#5074,#3903,.T.)!#6594=EDGE_CURVE('',#5075,#5075,#3904,.T.)!#6595=EDGE_CURVE('',#5049,#5048,#3905,.T.)!#6596=EDGE_CURVE('',#5057,#5076,#1062,.T.)!#6597=EDGE_CURVE('',#5077,#5076,#3906,.T.)!#6598=EDGE_CURVE('',#5076,#5077,#3907,.T.)!#6599=EDGE_CURVE('',#5078,#5078,#3908,.T.)!#6600=EDGE_CURVE('',#5069,#5062,#1063,.T.)!#6601=EDGE_CURVE('',#5072,#5061,#1064,.T.)!#6602=EDGE_CURVE('',#5075,#5060,#1065,.T.)!#6603=EDGE_CURVE('',#5078,#4897,#1066,.T.)!#6604=EDGE_CURVE('',#5079,#5080,#3909,.T.)!#6605=EDGE_CURVE('',#5080,#5081,#3910,.T.)!#6606=EDGE_CURVE('',#5081,#5082,#3911,.T.)!#6607=EDGE_CURVE('',#5082,#5083,#3912,.T.)!#6608=EDGE_CURVE('',#5083,#5084,#3913,.T.)!#6609=EDGE_CURVE('',#5084,#5079,#3914,.T.)!#6610=EDGE_CURVE('',#5083,#5085,#4077,.T.)!#6611=EDGE_CURVE('',#5009,#5085,#1067,.T.)!#6612=EDGE_CURVE('',#5014,#5086,#1068,.T.)!#6613=EDGE_CURVE('',#5086,#5083,#4078,.T.)!#6614=EDGE_CURVE('',#5084,#5086,#4079,.T.)!#6615=EDGE_CURVE('',#5013,#5087,#1069,.T.)!#6616=EDGE_CURVE('',#5087,#5084,#4080,.T.)!#6617=EDGE_CURVE('',#5079,#5087,#4081,.T.)!#6618=EDGE_CURVE('',#5012,#5088,#1070,.T.)!#6619=EDGE_CURVE('',#5088,#5079,#4082,.T.)!#6620=EDGE_CURVE('',#5089,#5080,#4083,.T.)!#6621=EDGE_CURVE('',#5080,#5088,#4084,.T.)!#6622=EDGE_CURVE('',#5011,#5089,#1071,.T.)!#6623=EDGE_CURVE('',#5090,#5081,#4085,.T.)!#6624=EDGE_CURVE('',#5081,#5089,#4086,.T.)!#6625=EDGE_CURVE('',#5010,#5090,#1072,.T.)!#6626=EDGE_CURVE('',#5085,#5082,#4087,.T.)!#6627=EDGE_CURVE('',#5082,#5090,#4088,.T.)!#6628=EDGE_CURVE('',#5091,#5092,#3915,.T.)!#6629=EDGE_CURVE('',#5092,#5093,#3916,.T.)!#6630=EDGE_CURVE('',#5093,#5094,#3917,.T.)!#6631=EDGE_CURVE('',#5094,#5095,#3918,.T.)!#6632=EDGE_CURVE('',#5095,#5096,#3919,.T.)!#6633=EDGE_CURVE('',#5096,#5091,#3920,.T.)!#6634=EDGE_CURVE('',#5095,#5097,#4089,.T.)!#6635=EDGE_CURVE('',#4940,#5097,#1073,.T.)!#6636=EDGE_CURVE('',#4945,#5098,#1074,.T.)!#6637=EDGE_CURVE('',#5098,#5095,#4090,.T.)!#6638=EDGE_CURVE('',#5096,#5098,#4091,.T.)!#6639=EDGE_CURVE('',#4944,#5099,#1075,.T.)!#6640=EDGE_CURVE('',#5099,#5096,#4092,.T.)!#6641=EDGE_CURVE('',#5091,#5099,#4093,.T.)!#6642=EDGE_CURVE('',#4943,#5100,#1076,.T.)!#6643=EDGE_CURVE('',#5100,#5091,#4094,.T.)!#6644=EDGE_CURVE('',#5101,#5092,#4095,.T.)!#6645=EDGE_CURVE('',#5092,#5100,#4096,.T.)!#6646=EDGE_CURVE('',#4942,#5101,#1077,.T.)!#6647=EDGE_CURVE('',#5102,#5093,#4097,.T.)!#6648=EDGE_CURVE('',#5093,#5101,#4098,.T.)!#6649=EDGE_CURVE('',#4941,#5102,#1078,.T.)!#6650=EDGE_CURVE('',#5097,#5094,#4099,.T.)!#6651=EDGE_CURVE('',#5094,#5102,#4100,.T.)!#6652=ORIENTED_EDGE('',*,*,#5103,.F.)!#6653=ORIENTED_EDGE('',*,*,#5104,.F.)!#6654=ORIENTED_EDGE('',*,*,#5105,.F.)!#6655=ORIENTED_EDGE('',*,*,#5106,.F.)!#6656=ORIENTED_EDGE('',*,*,#5107,.F.)!#6657=ORIENTED_EDGE('',*,*,#5108,.F.)!#6658=ORIENTED_EDGE('',*,*,#5109,.F.)!#6659=ORIENTED_EDGE('',*,*,#5110,.F.)!#6660=ORIENTED_EDGE('',*,*,#5111,.F.)!#6661=ORIENTED_EDGE('',*,*,#5112,.F.)!#6662=ORIENTED_EDGE('',*,*,#5113,.F.)!#6663=ORIENTED_EDGE('',*,*,#5114,.F.)!#6664=ORIENTED_EDGE('',*,*,#5115,.F.)!#6665=ORIENTED_EDGE('',*,*,#5116,.F.)!#6666=ORIENTED_EDGE('',*,*,#5117,.F.)!#6667=ORIENTED_EDGE('',*,*,#5118,.F.)!#6668=ORIENTED_EDGE('',*,*,#5119,.F.)!#6669=ORIENTED_EDGE('',*,*,#5120,.F.)!#6670=ORIENTED_EDGE('',*,*,#5118,.T.)!#6671=ORIENTED_EDGE('',*,*,#5115,.T.)!#6672=ORIENTED_EDGE('',*,*,#5121,.F.)!#6673=ORIENTED_EDGE('',*,*,#5122,.F.)!#6674=ORIENTED_EDGE('',*,*,#5123,.T.)!#6675=ORIENTED_EDGE('',*,*,#5117,.T.)!#6676=ORIENTED_EDGE('',*,*,#5109,.T.)!#6677=ORIENTED_EDGE('',*,*,#5124,.F.)!#6678=ORIENTED_EDGE('',*,*,#5125,.F.)!#6679=ORIENTED_EDGE('',*,*,#5121,.T.)!#6680=ORIENTED_EDGE('',*,*,#5111,.T.)!#6681=ORIENTED_EDGE('',*,*,#5103,.T.)!#6682=ORIENTED_EDGE('',*,*,#5126,.F.)!#6683=ORIENTED_EDGE('',*,*,#5127,.F.)!#6684=ORIENTED_EDGE('',*,*,#5124,.T.)!#6685=ORIENTED_EDGE('',*,*,#5107,.T.)!#6686=ORIENTED_EDGE('',*,*,#5128,.F.)!#6687=ORIENTED_EDGE('',*,*,#5129,.F.)!#6688=ORIENTED_EDGE('',*,*,#5126,.T.)!#6689=ORIENTED_EDGE('',*,*,#5105,.T.)!#6690=ORIENTED_EDGE('',*,*,#5113,.T.)!#6691=ORIENTED_EDGE('',*,*,#5130,.F.)!#6692=ORIENTED_EDGE('',*,*,#5131,.F.)!#6693=ORIENTED_EDGE('',*,*,#5128,.T.)!#6694=ORIENTED_EDGE('',*,*,#5106,.T.)!#6695=ORIENTED_EDGE('',*,*,#5119,.T.)!#6696=ORIENTED_EDGE('',*,*,#5123,.F.)!#6697=ORIENTED_EDGE('',*,*,#5132,.F.)!#6698=ORIENTED_EDGE('',*,*,#5130,.T.)!#6699=ORIENTED_EDGE('',*,*,#5112,.T.)!#6700=ORIENTED_EDGE('',*,*,#5104,.T.)!#6701=ORIENTED_EDGE('',*,*,#5110,.T.)!#6702=ORIENTED_EDGE('',*,*,#5116,.T.)!#6703=ORIENTED_EDGE('',*,*,#5120,.T.)!#6704=ORIENTED_EDGE('',*,*,#5114,.T.)!#6705=ORIENTED_EDGE('',*,*,#5108,.T.)!#6706=ORIENTED_EDGE('',*,*,#5133,.F.)!#6707=ORIENTED_EDGE('',*,*,#5134,.F.)!#6708=ORIENTED_EDGE('',*,*,#5135,.F.)!#6709=ORIENTED_EDGE('',*,*,#5136,.F.)!#6710=ORIENTED_EDGE('',*,*,#5137,.F.)!#6711=ORIENTED_EDGE('',*,*,#5138,.F.)!#6712=ORIENTED_EDGE('',*,*,#5139,.F.)!#6713=ORIENTED_EDGE('',*,*,#5140,.F.)!#6714=ORIENTED_EDGE('',*,*,#5141,.F.)!#6715=ORIENTED_EDGE('',*,*,#5142,.F.)!#6716=ORIENTED_EDGE('',*,*,#5143,.F.)!#6717=ORIENTED_EDGE('',*,*,#5144,.F.)!#6718=ORIENTED_EDGE('',*,*,#5145,.F.)!#6719=ORIENTED_EDGE('',*,*,#5146,.F.)!#6720=ORIENTED_EDGE('',*,*,#5147,.F.)!#6721=ORIENTED_EDGE('',*,*,#5148,.F.)!#6722=ORIENTED_EDGE('',*,*,#5149,.F.)!#6723=ORIENTED_EDGE('',*,*,#5150,.F.)!#6724=ORIENTED_EDGE('',*,*,#5148,.T.)!#6725=ORIENTED_EDGE('',*,*,#5145,.T.)!#6726=ORIENTED_EDGE('',*,*,#5151,.F.)!#6727=ORIENTED_EDGE('',*,*,#5152,.F.)!#6728=ORIENTED_EDGE('',*,*,#5153,.T.)!#6729=ORIENTED_EDGE('',*,*,#5147,.T.)!#6730=ORIENTED_EDGE('',*,*,#5139,.T.)!#6731=ORIENTED_EDGE('',*,*,#5154,.F.)!#6732=ORIENTED_EDGE('',*,*,#5155,.F.)!#6733=ORIENTED_EDGE('',*,*,#5151,.T.)!#6734=ORIENTED_EDGE('',*,*,#5141,.T.)!#6735=ORIENTED_EDGE('',*,*,#5133,.T.)!#6736=ORIENTED_EDGE('',*,*,#5156,.F.)!#6737=ORIENTED_EDGE('',*,*,#5157,.F.)!#6738=ORIENTED_EDGE('',*,*,#5154,.T.)!#6739=ORIENTED_EDGE('',*,*,#5137,.T.)!#6740=ORIENTED_EDGE('',*,*,#5158,.F.)!#6741=ORIENTED_EDGE('',*,*,#5159,.F.)!#6742=ORIENTED_EDGE('',*,*,#5156,.T.)!#6743=ORIENTED_EDGE('',*,*,#5135,.T.)!#6744=ORIENTED_EDGE('',*,*,#5143,.T.)!#6745=ORIENTED_EDGE('',*,*,#5160,.F.)!#6746=ORIENTED_EDGE('',*,*,#5161,.F.)!#6747=ORIENTED_EDGE('',*,*,#5158,.T.)!#6748=ORIENTED_EDGE('',*,*,#5136,.T.)!#6749=ORIENTED_EDGE('',*,*,#5149,.T.)!#6750=ORIENTED_EDGE('',*,*,#5153,.F.)!#6751=ORIENTED_EDGE('',*,*,#5162,.F.)!#6752=ORIENTED_EDGE('',*,*,#5160,.T.)!#6753=ORIENTED_EDGE('',*,*,#5142,.T.)!#6754=ORIENTED_EDGE('',*,*,#5134,.T.)!#6755=ORIENTED_EDGE('',*,*,#5140,.T.)!#6756=ORIENTED_EDGE('',*,*,#5146,.T.)!#6757=ORIENTED_EDGE('',*,*,#5150,.T.)!#6758=ORIENTED_EDGE('',*,*,#5144,.T.)!#6759=ORIENTED_EDGE('',*,*,#5138,.T.)!#6760=ORIENTED_EDGE('',*,*,#5163,.F.)!#6761=ORIENTED_EDGE('',*,*,#5164,.F.)!#6762=ORIENTED_EDGE('',*,*,#5165,.F.)!#6763=ORIENTED_EDGE('',*,*,#5166,.T.)!#6764=ORIENTED_EDGE('',*,*,#5167,.F.)!#6765=ORIENTED_EDGE('',*,*,#5168,.F.)!#6766=ORIENTED_EDGE('',*,*,#5169,.F.)!#6767=ORIENTED_EDGE('',*,*,#5164,.T.)!#6768=ORIENTED_EDGE('',*,*,#5170,.F.)!#6769=ORIENTED_EDGE('',*,*,#5171,.F.)!#6770=ORIENTED_EDGE('',*,*,#5172,.F.)!#6771=ORIENTED_EDGE('',*,*,#5168,.T.)!#6772=ORIENTED_EDGE('',*,*,#5173,.F.)!#6773=ORIENTED_EDGE('',*,*,#5174,.F.)!#6774=ORIENTED_EDGE('',*,*,#5175,.F.)!#6775=ORIENTED_EDGE('',*,*,#5171,.T.)!#6776=ORIENTED_EDGE('',*,*,#5176,.F.)!#6777=ORIENTED_EDGE('',*,*,#5177,.F.)!#6778=ORIENTED_EDGE('',*,*,#5178,.F.)!#6779=ORIENTED_EDGE('',*,*,#5174,.T.)!#6780=ORIENTED_EDGE('',*,*,#5179,.F.)!#6781=ORIENTED_EDGE('',*,*,#5180,.F.)!#6782=ORIENTED_EDGE('',*,*,#5181,.F.)!#6783=ORIENTED_EDGE('',*,*,#5177,.T.)!#6784=ORIENTED_EDGE('',*,*,#5182,.F.)!#6785=ORIENTED_EDGE('',*,*,#5183,.F.)!#6786=ORIENTED_EDGE('',*,*,#5184,.F.)!#6787=ORIENTED_EDGE('',*,*,#5180,.T.)!#6788=ORIENTED_EDGE('',*,*,#5185,.F.)!#6789=ORIENTED_EDGE('',*,*,#5186,.F.)!#6790=ORIENTED_EDGE('',*,*,#5187,.F.)!#6791=ORIENTED_EDGE('',*,*,#5183,.T.)!#6792=ORIENTED_EDGE('',*,*,#5188,.F.)!#6793=ORIENTED_EDGE('',*,*,#5189,.F.)!#6794=ORIENTED_EDGE('',*,*,#5190,.F.)!#6795=ORIENTED_EDGE('',*,*,#5186,.T.)!#6796=ORIENTED_EDGE('',*,*,#5191,.F.)!#6797=ORIENTED_EDGE('',*,*,#5192,.F.)!#6798=ORIENTED_EDGE('',*,*,#5193,.F.)!#6799=ORIENTED_EDGE('',*,*,#5189,.T.)!#6800=ORIENTED_EDGE('',*,*,#5194,.F.)!#6801=ORIENTED_EDGE('',*,*,#5195,.F.)!#6802=ORIENTED_EDGE('',*,*,#5196,.F.)!#6803=ORIENTED_EDGE('',*,*,#5192,.T.)!#6804=ORIENTED_EDGE('',*,*,#5197,.F.)!#6805=ORIENTED_EDGE('',*,*,#5166,.F.)!#6806=ORIENTED_EDGE('',*,*,#5198,.F.)!#6807=ORIENTED_EDGE('',*,*,#5195,.T.)!#6808=ORIENTED_EDGE('',*,*,#5165,.T.)!#6809=ORIENTED_EDGE('',*,*,#5169,.T.)!#6810=ORIENTED_EDGE('',*,*,#5172,.T.)!#6811=ORIENTED_EDGE('',*,*,#5175,.T.)!#6812=ORIENTED_EDGE('',*,*,#5178,.T.)!#6813=ORIENTED_EDGE('',*,*,#5181,.T.)!#6814=ORIENTED_EDGE('',*,*,#5184,.T.)!#6815=ORIENTED_EDGE('',*,*,#5187,.T.)!#6816=ORIENTED_EDGE('',*,*,#5190,.T.)!#6817=ORIENTED_EDGE('',*,*,#5193,.T.)!#6818=ORIENTED_EDGE('',*,*,#5196,.T.)!#6819=ORIENTED_EDGE('',*,*,#5198,.T.)!#6820=ORIENTED_EDGE('',*,*,#5199,.F.)!#6821=ORIENTED_EDGE('',*,*,#5200,.F.)!#6822=ORIENTED_EDGE('',*,*,#5201,.T.)!#6823=ORIENTED_EDGE('',*,*,#5202,.T.)!#6824=ORIENTED_EDGE('',*,*,#5203,.F.)!#6825=ORIENTED_EDGE('',*,*,#5204,.F.)!#6826=ORIENTED_EDGE('',*,*,#5205,.T.)!#6827=ORIENTED_EDGE('',*,*,#5200,.T.)!#6828=ORIENTED_EDGE('',*,*,#5206,.F.)!#6829=ORIENTED_EDGE('',*,*,#5207,.F.)!#6830=ORIENTED_EDGE('',*,*,#5208,.T.)!#6831=ORIENTED_EDGE('',*,*,#5204,.T.)!#6832=ORIENTED_EDGE('',*,*,#5209,.F.)!#6833=ORIENTED_EDGE('',*,*,#5210,.F.)!#6834=ORIENTED_EDGE('',*,*,#5211,.T.)!#6835=ORIENTED_EDGE('',*,*,#5207,.T.)!#6836=ORIENTED_EDGE('',*,*,#5212,.F.)!#6837=ORIENTED_EDGE('',*,*,#5213,.F.)!#6838=ORIENTED_EDGE('',*,*,#5214,.T.)!#6839=ORIENTED_EDGE('',*,*,#5210,.T.)!#6840=ORIENTED_EDGE('',*,*,#5215,.F.)!#6841=ORIENTED_EDGE('',*,*,#5216,.F.)!#6842=ORIENTED_EDGE('',*,*,#5217,.T.)!#6843=ORIENTED_EDGE('',*,*,#5213,.T.)!#6844=ORIENTED_EDGE('',*,*,#5218,.F.)!#6845=ORIENTED_EDGE('',*,*,#5219,.F.)!#6846=ORIENTED_EDGE('',*,*,#5220,.T.)!#6847=ORIENTED_EDGE('',*,*,#5216,.T.)!#6848=ORIENTED_EDGE('',*,*,#5221,.F.)!#6849=ORIENTED_EDGE('',*,*,#5222,.F.)!#6850=ORIENTED_EDGE('',*,*,#5223,.T.)!#6851=ORIENTED_EDGE('',*,*,#5219,.T.)!#6852=ORIENTED_EDGE('',*,*,#5224,.F.)!#6853=ORIENTED_EDGE('',*,*,#5225,.F.)!#6854=ORIENTED_EDGE('',*,*,#5226,.T.)!#6855=ORIENTED_EDGE('',*,*,#5222,.T.)!#6856=ORIENTED_EDGE('',*,*,#5227,.F.)!#6857=ORIENTED_EDGE('',*,*,#5228,.F.)!#6858=ORIENTED_EDGE('',*,*,#5229,.T.)!#6859=ORIENTED_EDGE('',*,*,#5225,.T.)!#6860=ORIENTED_EDGE('',*,*,#5230,.F.)!#6861=ORIENTED_EDGE('',*,*,#5202,.F.)!#6862=ORIENTED_EDGE('',*,*,#5231,.T.)!#6863=ORIENTED_EDGE('',*,*,#5228,.T.)!#6864=ORIENTED_EDGE('',*,*,#5201,.F.)!#6865=ORIENTED_EDGE('',*,*,#5205,.F.)!#6866=ORIENTED_EDGE('',*,*,#5208,.F.)!#6867=ORIENTED_EDGE('',*,*,#5211,.F.)!#6868=ORIENTED_EDGE('',*,*,#5214,.F.)!#6869=ORIENTED_EDGE('',*,*,#5217,.F.)!#6870=ORIENTED_EDGE('',*,*,#5220,.F.)!#6871=ORIENTED_EDGE('',*,*,#5223,.F.)!#6872=ORIENTED_EDGE('',*,*,#5226,.F.)!#6873=ORIENTED_EDGE('',*,*,#5229,.F.)!#6874=ORIENTED_EDGE('',*,*,#5231,.F.)!#6875=ORIENTED_EDGE('',*,*,#5232,.T.)!#6876=ORIENTED_EDGE('',*,*,#5233,.T.)!#6877=ORIENTED_EDGE('',*,*,#5234,.F.)!#6878=ORIENTED_EDGE('',*,*,#5235,.F.)!#6879=ORIENTED_EDGE('',*,*,#5233,.F.)!#6880=ORIENTED_EDGE('',*,*,#5236,.T.)!#6881=ORIENTED_EDGE('',*,*,#5237,.F.)!#6882=ORIENTED_EDGE('',*,*,#5236,.F.)!#6883=ORIENTED_EDGE('',*,*,#5232,.F.)!#6884=ORIENTED_EDGE('',*,*,#5235,.T.)!#6885=ORIENTED_EDGE('',*,*,#5238,.F.)!#6886=ORIENTED_EDGE('',*,*,#5239,.F.)!#6887=ORIENTED_EDGE('',*,*,#5240,.F.)!#6888=ORIENTED_EDGE('',*,*,#5241,.T.)!#6889=ORIENTED_EDGE('',*,*,#5242,.F.)!#6890=ORIENTED_EDGE('',*,*,#5243,.F.)!#6891=ORIENTED_EDGE('',*,*,#5244,.F.)!#6892=ORIENTED_EDGE('',*,*,#5239,.T.)!#6893=ORIENTED_EDGE('',*,*,#5245,.F.)!#6894=ORIENTED_EDGE('',*,*,#5246,.F.)!#6895=ORIENTED_EDGE('',*,*,#5247,.F.)!#6896=ORIENTED_EDGE('',*,*,#5243,.T.)!#6897=ORIENTED_EDGE('',*,*,#5248,.F.)!#6898=ORIENTED_EDGE('',*,*,#5249,.F.)!#6899=ORIENTED_EDGE('',*,*,#5250,.F.)!#6900=ORIENTED_EDGE('',*,*,#5246,.T.)!#6901=ORIENTED_EDGE('',*,*,#5251,.F.)!#6902=ORIENTED_EDGE('',*,*,#5252,.F.)!#6903=ORIENTED_EDGE('',*,*,#5253,.F.)!#6904=ORIENTED_EDGE('',*,*,#5249,.T.)!#6905=ORIENTED_EDGE('',*,*,#5254,.F.)!#6906=ORIENTED_EDGE('',*,*,#5255,.F.)!#6907=ORIENTED_EDGE('',*,*,#5256,.F.)!#6908=ORIENTED_EDGE('',*,*,#5252,.T.)!#6909=ORIENTED_EDGE('',*,*,#5257,.F.)!#6910=ORIENTED_EDGE('',*,*,#5258,.F.)!#6911=ORIENTED_EDGE('',*,*,#5259,.F.)!#6912=ORIENTED_EDGE('',*,*,#5255,.T.)!#6913=ORIENTED_EDGE('',*,*,#5260,.F.)!#6914=ORIENTED_EDGE('',*,*,#5261,.F.)!#6915=ORIENTED_EDGE('',*,*,#5262,.F.)!#6916=ORIENTED_EDGE('',*,*,#5258,.T.)!#6917=ORIENTED_EDGE('',*,*,#5263,.F.)!#6918=ORIENTED_EDGE('',*,*,#5264,.F.)!#6919=ORIENTED_EDGE('',*,*,#5265,.F.)!#6920=ORIENTED_EDGE('',*,*,#5261,.T.)!#6921=ORIENTED_EDGE('',*,*,#5266,.F.)!#6922=ORIENTED_EDGE('',*,*,#5267,.F.)!#6923=ORIENTED_EDGE('',*,*,#5268,.F.)!#6924=ORIENTED_EDGE('',*,*,#5264,.T.)!#6925=ORIENTED_EDGE('',*,*,#5269,.F.)!#6926=ORIENTED_EDGE('',*,*,#5270,.F.)!#6927=ORIENTED_EDGE('',*,*,#5271,.F.)!#6928=ORIENTED_EDGE('',*,*,#5267,.T.)!#6929=ORIENTED_EDGE('',*,*,#5272,.F.)!#6930=ORIENTED_EDGE('',*,*,#5273,.F.)!#6931=ORIENTED_EDGE('',*,*,#5274,.F.)!#6932=ORIENTED_EDGE('',*,*,#5270,.T.)!#6933=ORIENTED_EDGE('',*,*,#5275,.F.)!#6934=ORIENTED_EDGE('',*,*,#5276,.F.)!#6935=ORIENTED_EDGE('',*,*,#5277,.F.)!#6936=ORIENTED_EDGE('',*,*,#5273,.T.)!#6937=ORIENTED_EDGE('',*,*,#5278,.F.)!#6938=ORIENTED_EDGE('',*,*,#5279,.F.)!#6939=ORIENTED_EDGE('',*,*,#5280,.F.)!#6940=ORIENTED_EDGE('',*,*,#5276,.T.)!#6941=ORIENTED_EDGE('',*,*,#5281,.F.)!#6942=ORIENTED_EDGE('',*,*,#5282,.F.)!#6943=ORIENTED_EDGE('',*,*,#5283,.F.)!#6944=ORIENTED_EDGE('',*,*,#5279,.T.)!#6945=ORIENTED_EDGE('',*,*,#5284,.F.)!#6946=ORIENTED_EDGE('',*,*,#5285,.F.)!#6947=ORIENTED_EDGE('',*,*,#5286,.F.)!#6948=ORIENTED_EDGE('',*,*,#5282,.T.)!#6949=ORIENTED_EDGE('',*,*,#5287,.F.)!#6950=ORIENTED_EDGE('',*,*,#5288,.F.)!#6951=ORIENTED_EDGE('',*,*,#5289,.F.)!#6952=ORIENTED_EDGE('',*,*,#5285,.T.)!#6953=ORIENTED_EDGE('',*,*,#5290,.F.)!#6954=ORIENTED_EDGE('',*,*,#5291,.F.)!#6955=ORIENTED_EDGE('',*,*,#5292,.F.)!#6956=ORIENTED_EDGE('',*,*,#5288,.T.)!#6957=ORIENTED_EDGE('',*,*,#5293,.F.)!#6958=ORIENTED_EDGE('',*,*,#5241,.F.)!#6959=ORIENTED_EDGE('',*,*,#5294,.F.)!#6960=ORIENTED_EDGE('',*,*,#5291,.T.)!#6961=ORIENTED_EDGE('',*,*,#5240,.T.)!#6962=ORIENTED_EDGE('',*,*,#5244,.T.)!#6963=ORIENTED_EDGE('',*,*,#5247,.T.)!#6964=ORIENTED_EDGE('',*,*,#5250,.T.)!#6965=ORIENTED_EDGE('',*,*,#5253,.T.)!#6966=ORIENTED_EDGE('',*,*,#5256,.T.)!#6967=ORIENTED_EDGE('',*,*,#5259,.T.)!#6968=ORIENTED_EDGE('',*,*,#5262,.T.)!#6969=ORIENTED_EDGE('',*,*,#5265,.T.)!#6970=ORIENTED_EDGE('',*,*,#5268,.T.)!#6971=ORIENTED_EDGE('',*,*,#5271,.T.)!#6972=ORIENTED_EDGE('',*,*,#5274,.T.)!#6973=ORIENTED_EDGE('',*,*,#5277,.T.)!#6974=ORIENTED_EDGE('',*,*,#5280,.T.)!#6975=ORIENTED_EDGE('',*,*,#5283,.T.)!#6976=ORIENTED_EDGE('',*,*,#5286,.T.)!#6977=ORIENTED_EDGE('',*,*,#5289,.T.)!#6978=ORIENTED_EDGE('',*,*,#5292,.T.)!#6979=ORIENTED_EDGE('',*,*,#5294,.T.)!#6980=ORIENTED_EDGE('',*,*,#5295,.F.)!#6981=ORIENTED_EDGE('',*,*,#5296,.F.)!#6982=ORIENTED_EDGE('',*,*,#5297,.F.)!#6983=ORIENTED_EDGE('',*,*,#5298,.T.)!#6984=ORIENTED_EDGE('',*,*,#5299,.F.)!#6985=ORIENTED_EDGE('',*,*,#5300,.F.)!#6986=ORIENTED_EDGE('',*,*,#5301,.F.)!#6987=ORIENTED_EDGE('',*,*,#5296,.T.)!#6988=ORIENTED_EDGE('',*,*,#5302,.F.)!#6989=ORIENTED_EDGE('',*,*,#5303,.F.)!#6990=ORIENTED_EDGE('',*,*,#5304,.F.)!#6991=ORIENTED_EDGE('',*,*,#5300,.T.)!#6992=ORIENTED_EDGE('',*,*,#5305,.F.)!#6993=ORIENTED_EDGE('',*,*,#5306,.F.)!#6994=ORIENTED_EDGE('',*,*,#5307,.F.)!#6995=ORIENTED_EDGE('',*,*,#5303,.T.)!#6996=ORIENTED_EDGE('',*,*,#5308,.F.)!#6997=ORIENTED_EDGE('',*,*,#5309,.F.)!#6998=ORIENTED_EDGE('',*,*,#5310,.F.)!#6999=ORIENTED_EDGE('',*,*,#5306,.T.)!#7000=ORIENTED_EDGE('',*,*,#5311,.F.)!#7001=ORIENTED_EDGE('',*,*,#5312,.F.)!#7002=ORIENTED_EDGE('',*,*,#5313,.F.)!#7003=ORIENTED_EDGE('',*,*,#5309,.T.)!#7004=ORIENTED_EDGE('',*,*,#5314,.F.)!#7005=ORIENTED_EDGE('',*,*,#5315,.F.)!#7006=ORIENTED_EDGE('',*,*,#5316,.F.)!#7007=ORIENTED_EDGE('',*,*,#5312,.T.)!#7008=ORIENTED_EDGE('',*,*,#5317,.F.)!#7009=ORIENTED_EDGE('',*,*,#5318,.F.)!#7010=ORIENTED_EDGE('',*,*,#5319,.F.)!#7011=ORIENTED_EDGE('',*,*,#5315,.T.)!#7012=ORIENTED_EDGE('',*,*,#5320,.F.)!#7013=ORIENTED_EDGE('',*,*,#5321,.F.)!#7014=ORIENTED_EDGE('',*,*,#5322,.F.)!#7015=ORIENTED_EDGE('',*,*,#5318,.T.)!#7016=ORIENTED_EDGE('',*,*,#5323,.F.)!#7017=ORIENTED_EDGE('',*,*,#5324,.F.)!#7018=ORIENTED_EDGE('',*,*,#5325,.F.)!#7019=ORIENTED_EDGE('',*,*,#5321,.T.)!#7020=ORIENTED_EDGE('',*,*,#5326,.F.)!#7021=ORIENTED_EDGE('',*,*,#5327,.F.)!#7022=ORIENTED_EDGE('',*,*,#5328,.F.)!#7023=ORIENTED_EDGE('',*,*,#5324,.T.)!#7024=ORIENTED_EDGE('',*,*,#5329,.F.)!#7025=ORIENTED_EDGE('',*,*,#5330,.F.)!#7026=ORIENTED_EDGE('',*,*,#5331,.F.)!#7027=ORIENTED_EDGE('',*,*,#5327,.T.)!#7028=ORIENTED_EDGE('',*,*,#5332,.F.)!#7029=ORIENTED_EDGE('',*,*,#5333,.F.)!#7030=ORIENTED_EDGE('',*,*,#5334,.F.)!#7031=ORIENTED_EDGE('',*,*,#5330,.T.)!#7032=ORIENTED_EDGE('',*,*,#5335,.F.)!#7033=ORIENTED_EDGE('',*,*,#5336,.F.)!#7034=ORIENTED_EDGE('',*,*,#5337,.F.)!#7035=ORIENTED_EDGE('',*,*,#5333,.T.)!#7036=ORIENTED_EDGE('',*,*,#5338,.F.)!#7037=ORIENTED_EDGE('',*,*,#5339,.F.)!#7038=ORIENTED_EDGE('',*,*,#5340,.F.)!#7039=ORIENTED_EDGE('',*,*,#5336,.T.)!#7040=ORIENTED_EDGE('',*,*,#5341,.F.)!#7041=ORIENTED_EDGE('',*,*,#5342,.F.)!#7042=ORIENTED_EDGE('',*,*,#5343,.F.)!#7043=ORIENTED_EDGE('',*,*,#5339,.T.)!#7044=ORIENTED_EDGE('',*,*,#5344,.F.)!#7045=ORIENTED_EDGE('',*,*,#5345,.F.)!#7046=ORIENTED_EDGE('',*,*,#5346,.F.)!#7047=ORIENTED_EDGE('',*,*,#5342,.T.)!#7048=ORIENTED_EDGE('',*,*,#5347,.F.)!#7049=ORIENTED_EDGE('',*,*,#5348,.F.)!#7050=ORIENTED_EDGE('',*,*,#5349,.F.)!#7051=ORIENTED_EDGE('',*,*,#5345,.T.)!#7052=ORIENTED_EDGE('',*,*,#5350,.F.)!#7053=ORIENTED_EDGE('',*,*,#5351,.F.)!#7054=ORIENTED_EDGE('',*,*,#5352,.F.)!#7055=ORIENTED_EDGE('',*,*,#5348,.T.)!#7056=ORIENTED_EDGE('',*,*,#5353,.F.)!#7057=ORIENTED_EDGE('',*,*,#5354,.F.)!#7058=ORIENTED_EDGE('',*,*,#5355,.F.)!#7059=ORIENTED_EDGE('',*,*,#5351,.T.)!#7060=ORIENTED_EDGE('',*,*,#5356,.F.)!#7061=ORIENTED_EDGE('',*,*,#5357,.F.)!#7062=ORIENTED_EDGE('',*,*,#5358,.F.)!#7063=ORIENTED_EDGE('',*,*,#5354,.T.)!#7064=ORIENTED_EDGE('',*,*,#5359,.F.)!#7065=ORIENTED_EDGE('',*,*,#5360,.F.)!#7066=ORIENTED_EDGE('',*,*,#5361,.F.)!#7067=ORIENTED_EDGE('',*,*,#5357,.T.)!#7068=ORIENTED_EDGE('',*,*,#5362,.F.)!#7069=ORIENTED_EDGE('',*,*,#5363,.F.)!#7070=ORIENTED_EDGE('',*,*,#5364,.F.)!#7071=ORIENTED_EDGE('',*,*,#5360,.T.)!#7072=ORIENTED_EDGE('',*,*,#5365,.F.)!#7073=ORIENTED_EDGE('',*,*,#5366,.F.)!#7074=ORIENTED_EDGE('',*,*,#5367,.F.)!#7075=ORIENTED_EDGE('',*,*,#5363,.T.)!#7076=ORIENTED_EDGE('',*,*,#5368,.F.)!#7077=ORIENTED_EDGE('',*,*,#5369,.F.)!#7078=ORIENTED_EDGE('',*,*,#5370,.F.)!#7079=ORIENTED_EDGE('',*,*,#5366,.T.)!#7080=ORIENTED_EDGE('',*,*,#5371,.F.)!#7081=ORIENTED_EDGE('',*,*,#5372,.F.)!#7082=ORIENTED_EDGE('',*,*,#5373,.F.)!#7083=ORIENTED_EDGE('',*,*,#5369,.T.)!#7084=ORIENTED_EDGE('',*,*,#5374,.F.)!#7085=ORIENTED_EDGE('',*,*,#5298,.F.)!#7086=ORIENTED_EDGE('',*,*,#5375,.F.)!#7087=ORIENTED_EDGE('',*,*,#5372,.T.)!#7088=ORIENTED_EDGE('',*,*,#5316,.T.)!#7089=ORIENTED_EDGE('',*,*,#5319,.T.)!#7090=ORIENTED_EDGE('',*,*,#5322,.T.)!#7091=ORIENTED_EDGE('',*,*,#5325,.T.)!#7092=ORIENTED_EDGE('',*,*,#5328,.T.)!#7093=ORIENTED_EDGE('',*,*,#5331,.T.)!#7094=ORIENTED_EDGE('',*,*,#5334,.T.)!#7095=ORIENTED_EDGE('',*,*,#5337,.T.)!#7096=ORIENTED_EDGE('',*,*,#5340,.T.)!#7097=ORIENTED_EDGE('',*,*,#5343,.T.)!#7098=ORIENTED_EDGE('',*,*,#5346,.T.)!#7099=ORIENTED_EDGE('',*,*,#5349,.T.)!#7100=ORIENTED_EDGE('',*,*,#5352,.T.)!#7101=ORIENTED_EDGE('',*,*,#5355,.T.)!#7102=ORIENTED_EDGE('',*,*,#5358,.T.)!#7103=ORIENTED_EDGE('',*,*,#5361,.T.)!#7104=ORIENTED_EDGE('',*,*,#5364,.T.)!#7105=ORIENTED_EDGE('',*,*,#5367,.T.)!#7106=ORIENTED_EDGE('',*,*,#5370,.T.)!#7107=ORIENTED_EDGE('',*,*,#5373,.T.)!#7108=ORIENTED_EDGE('',*,*,#5375,.T.)!#7109=ORIENTED_EDGE('',*,*,#5297,.T.)!#7110=ORIENTED_EDGE('',*,*,#5301,.T.)!#7111=ORIENTED_EDGE('',*,*,#5304,.T.)!#7112=ORIENTED_EDGE('',*,*,#5307,.T.)!#7113=ORIENTED_EDGE('',*,*,#5310,.T.)!#7114=ORIENTED_EDGE('',*,*,#5313,.T.)!#7115=ORIENTED_EDGE('',*,*,#5376,.F.)!#7116=ORIENTED_EDGE('',*,*,#5377,.F.)!#7117=ORIENTED_EDGE('',*,*,#5378,.F.)!#7118=ORIENTED_EDGE('',*,*,#5379,.F.)!#7119=ORIENTED_EDGE('',*,*,#5380,.F.)!#7120=ORIENTED_EDGE('',*,*,#5381,.F.)!#7121=ORIENTED_EDGE('',*,*,#5382,.F.)!#7122=ORIENTED_EDGE('',*,*,#5383,.F.)!#7123=ORIENTED_EDGE('',*,*,#5384,.F.)!#7124=ORIENTED_EDGE('',*,*,#5385,.F.)!#7125=ORIENTED_EDGE('',*,*,#5386,.F.)!#7126=ORIENTED_EDGE('',*,*,#5387,.F.)!#7127=ORIENTED_EDGE('',*,*,#5388,.F.)!#7128=ORIENTED_EDGE('',*,*,#5389,.F.)!#7129=ORIENTED_EDGE('',*,*,#5390,.F.)!#7130=ORIENTED_EDGE('',*,*,#5391,.F.)!#7131=ORIENTED_EDGE('',*,*,#5392,.F.)!#7132=ORIENTED_EDGE('',*,*,#5393,.F.)!#7133=ORIENTED_EDGE('',*,*,#5393,.T.)!#7134=ORIENTED_EDGE('',*,*,#5388,.T.)!#7135=ORIENTED_EDGE('',*,*,#5394,.F.)!#7136=ORIENTED_EDGE('',*,*,#5395,.F.)!#7137=ORIENTED_EDGE('',*,*,#5378,.T.)!#7138=ORIENTED_EDGE('',*,*,#5396,.T.)!#7139=ORIENTED_EDGE('',*,*,#5390,.T.)!#7140=ORIENTED_EDGE('',*,*,#5382,.T.)!#7141=ORIENTED_EDGE('',*,*,#5397,.F.)!#7142=ORIENTED_EDGE('',*,*,#5380,.T.)!#7143=ORIENTED_EDGE('',*,*,#5398,.F.)!#7144=ORIENTED_EDGE('',*,*,#5394,.T.)!#7145=ORIENTED_EDGE('',*,*,#5391,.T.)!#7146=ORIENTED_EDGE('',*,*,#5396,.F.)!#7147=ORIENTED_EDGE('',*,*,#5377,.T.)!#7148=ORIENTED_EDGE('',*,*,#5399,.F.)!#7149=ORIENTED_EDGE('',*,*,#5400,.T.)!#7150=ORIENTED_EDGE('',*,*,#5385,.T.)!#7151=ORIENTED_EDGE('',*,*,#5386,.T.)!#7152=ORIENTED_EDGE('',*,*,#5400,.F.)!#7153=ORIENTED_EDGE('',*,*,#5401,.F.)!#7154=ORIENTED_EDGE('',*,*,#5381,.T.)!#7155=ORIENTED_EDGE('',*,*,#5397,.T.)!#7156=ORIENTED_EDGE('',*,*,#5384,.T.)!#7157=ORIENTED_EDGE('',*,*,#5383,.T.)!#7158=ORIENTED_EDGE('',*,*,#5389,.T.)!#7159=ORIENTED_EDGE('',*,*,#5392,.T.)!#7160=ORIENTED_EDGE('',*,*,#5387,.T.)!#7161=ORIENTED_EDGE('',*,*,#5398,.T.)!#7162=ORIENTED_EDGE('',*,*,#5402,.F.)!#7163=ORIENTED_EDGE('',*,*,#5403,.F.)!#7164=ORIENTED_EDGE('',*,*,#5404,.F.)!#7165=ORIENTED_EDGE('',*,*,#5395,.T.)!#7166=ORIENTED_EDGE('',*,*,#5401,.T.)!#7167=ORIENTED_EDGE('',*,*,#5399,.T.)!#7168=ORIENTED_EDGE('',*,*,#5405,.F.)!#7169=ORIENTED_EDGE('',*,*,#5406,.T.)!#7170=ORIENTED_EDGE('',*,*,#5407,.T.)!#7171=ORIENTED_EDGE('',*,*,#5408,.F.)!#7172=ORIENTED_EDGE('',*,*,#5409,.F.)!#7173=ORIENTED_EDGE('',*,*,#5410,.F.)!#7174=ORIENTED_EDGE('',*,*,#5411,.F.)!#7175=ORIENTED_EDGE('',*,*,#5412,.F.)!#7176=ORIENTED_EDGE('',*,*,#5413,.F.)!#7177=ORIENTED_EDGE('',*,*,#5414,.F.)!#7178=ORIENTED_EDGE('',*,*,#5415,.F.)!#7179=ORIENTED_EDGE('',*,*,#5416,.F.)!#7180=ORIENTED_EDGE('',*,*,#5417,.F.)!#7181=ORIENTED_EDGE('',*,*,#5418,.F.)!#7182=ORIENTED_EDGE('',*,*,#5419,.F.)!#7183=ORIENTED_EDGE('',*,*,#5420,.F.)!#7184=ORIENTED_EDGE('',*,*,#5421,.F.)!#7185=ORIENTED_EDGE('',*,*,#5422,.F.)!#7186=ORIENTED_EDGE('',*,*,#5423,.F.)!#7187=ORIENTED_EDGE('',*,*,#5424,.F.)!#7188=ORIENTED_EDGE('',*,*,#5425,.F.)!#7189=ORIENTED_EDGE('',*,*,#5426,.F.)!#7190=ORIENTED_EDGE('',*,*,#5426,.T.)!#7191=ORIENTED_EDGE('',*,*,#5421,.T.)!#7192=ORIENTED_EDGE('',*,*,#5427,.F.)!#7193=ORIENTED_EDGE('',*,*,#5406,.F.)!#7194=ORIENTED_EDGE('',*,*,#5411,.T.)!#7195=ORIENTED_EDGE('',*,*,#5428,.T.)!#7196=ORIENTED_EDGE('',*,*,#5423,.T.)!#7197=ORIENTED_EDGE('',*,*,#5415,.T.)!#7198=ORIENTED_EDGE('',*,*,#5429,.F.)!#7199=ORIENTED_EDGE('',*,*,#5413,.T.)!#7200=ORIENTED_EDGE('',*,*,#5407,.F.)!#7201=ORIENTED_EDGE('',*,*,#5427,.T.)!#7202=ORIENTED_EDGE('',*,*,#5424,.T.)!#7203=ORIENTED_EDGE('',*,*,#5428,.F.)!#7204=ORIENTED_EDGE('',*,*,#5410,.T.)!#7205=ORIENTED_EDGE('',*,*,#5430,.F.)!#7206=ORIENTED_EDGE('',*,*,#5431,.T.)!#7207=ORIENTED_EDGE('',*,*,#5418,.T.)!#7208=ORIENTED_EDGE('',*,*,#5419,.T.)!#7209=ORIENTED_EDGE('',*,*,#5431,.F.)!#7210=ORIENTED_EDGE('',*,*,#5432,.F.)!#7211=ORIENTED_EDGE('',*,*,#5414,.T.)!#7212=ORIENTED_EDGE('',*,*,#5429,.T.)!#7213=ORIENTED_EDGE('',*,*,#5417,.T.)!#7214=ORIENTED_EDGE('',*,*,#5416,.T.)!#7215=ORIENTED_EDGE('',*,*,#5422,.T.)!#7216=ORIENTED_EDGE('',*,*,#5425,.T.)!#7217=ORIENTED_EDGE('',*,*,#5420,.T.)!#7218=ORIENTED_EDGE('',*,*,#5432,.T.)!#7219=ORIENTED_EDGE('',*,*,#5430,.T.)!#7220=ORIENTED_EDGE('',*,*,#5433,.F.)!#7221=ORIENTED_EDGE('',*,*,#5434,.T.)!#7222=ORIENTED_EDGE('',*,*,#5435,.F.)!#7223=ORIENTED_EDGE('',*,*,#5436,.F.)!#7224=ORIENTED_EDGE('',*,*,#5437,.F.)!#7225=ORIENTED_EDGE('',*,*,#5438,.T.)!#7226=ORIENTED_EDGE('',*,*,#5439,.F.)!#7227=ORIENTED_EDGE('',*,*,#5438,.F.)!#7228=ORIENTED_EDGE('',*,*,#5436,.T.)!#7229=ORIENTED_EDGE('',*,*,#5440,.T.)!#7230=ORIENTED_EDGE('',*,*,#5440,.F.)!#7231=ORIENTED_EDGE('',*,*,#5437,.T.)!#7232=ORIENTED_EDGE('',*,*,#5441,.F.)!#7233=ORIENTED_EDGE('',*,*,#5442,.F.)!#7234=ORIENTED_EDGE('',*,*,#5443,.T.)!#7235=ORIENTED_EDGE('',*,*,#5444,.F.)!#7236=ORIENTED_EDGE('',*,*,#5443,.F.)!#7237=ORIENTED_EDGE('',*,*,#5441,.T.)!#7238=ORIENTED_EDGE('',*,*,#5445,.T.)!#7239=ORIENTED_EDGE('',*,*,#5445,.F.)!#7240=ORIENTED_EDGE('',*,*,#5442,.T.)!#7241=ORIENTED_EDGE('',*,*,#5446,.T.)!#7242=ORIENTED_EDGE('',*,*,#5447,.T.)!#7243=ORIENTED_EDGE('',*,*,#5448,.F.)!#7244=ORIENTED_EDGE('',*,*,#5449,.F.)!#7245=ORIENTED_EDGE('',*,*,#5450,.F.)!#7246=ORIENTED_EDGE('',*,*,#5451,.F.)!#7247=ORIENTED_EDGE('',*,*,#5452,.F.)!#7248=ORIENTED_EDGE('',*,*,#5453,.T.)!#7249=ORIENTED_EDGE('',*,*,#5454,.T.)!#7250=ORIENTED_EDGE('',*,*,#5402,.T.)!#7251=ORIENTED_EDGE('',*,*,#5379,.T.)!#7252=ORIENTED_EDGE('',*,*,#5408,.T.)!#7253=ORIENTED_EDGE('',*,*,#5412,.T.)!#7254=ORIENTED_EDGE('',*,*,#5435,.T.)!#7255=ORIENTED_EDGE('',*,*,#5455,.T.)!#7256=ORIENTED_EDGE('',*,*,#5456,.T.)!#7257=ORIENTED_EDGE('',*,*,#5457,.T.)!#7258=ORIENTED_EDGE('',*,*,#5458,.T.)!#7259=ORIENTED_EDGE('',*,*,#5459,.T.)!#7260=ORIENTED_EDGE('',*,*,#5433,.T.)!#7261=ORIENTED_EDGE('',*,*,#5409,.T.)!#7262=ORIENTED_EDGE('',*,*,#5405,.T.)!#7263=ORIENTED_EDGE('',*,*,#5376,.T.)!#7264=ORIENTED_EDGE('',*,*,#5404,.T.)!#7265=ORIENTED_EDGE('',*,*,#5439,.T.)!#7266=ORIENTED_EDGE('',*,*,#5444,.T.)!#7267=ORIENTED_EDGE('',*,*,#5434,.F.)!#7268=ORIENTED_EDGE('',*,*,#5459,.F.)!#7269=ORIENTED_EDGE('',*,*,#5460,.T.)!#7270=ORIENTED_EDGE('',*,*,#5455,.F.)!#7271=ORIENTED_EDGE('',*,*,#5460,.F.)!#7272=ORIENTED_EDGE('',*,*,#5458,.F.)!#7273=ORIENTED_EDGE('',*,*,#5461,.F.)!#7274=ORIENTED_EDGE('',*,*,#5453,.F.)!#7275=ORIENTED_EDGE('',*,*,#5462,.F.)!#7276=ORIENTED_EDGE('',*,*,#5456,.F.)!#7277=ORIENTED_EDGE('',*,*,#5461,.T.)!#7278=ORIENTED_EDGE('',*,*,#5457,.F.)!#7279=ORIENTED_EDGE('',*,*,#5403,.T.)!#7280=ORIENTED_EDGE('',*,*,#5454,.F.)!#7281=ORIENTED_EDGE('',*,*,#5462,.T.)!#7282=ORIENTED_EDGE('',*,*,#5463,.F.)!#7283=ORIENTED_EDGE('',*,*,#5464,.T.)!#7284=ORIENTED_EDGE('',*,*,#5465,.F.)!#7285=ORIENTED_EDGE('',*,*,#5466,.F.)!#7286=ORIENTED_EDGE('',*,*,#5467,.F.)!#7287=ORIENTED_EDGE('',*,*,#5468,.F.)!#7288=ORIENTED_EDGE('',*,*,#5469,.F.)!#7289=ORIENTED_EDGE('',*,*,#5470,.F.)!#7290=ORIENTED_EDGE('',*,*,#5471,.F.)!#7291=ORIENTED_EDGE('',*,*,#5472,.F.)!#7292=ORIENTED_EDGE('',*,*,#5473,.F.)!#7293=ORIENTED_EDGE('',*,*,#5474,.F.)!#7294=ORIENTED_EDGE('',*,*,#5475,.F.)!#7295=ORIENTED_EDGE('',*,*,#5476,.F.)!#7296=ORIENTED_EDGE('',*,*,#5477,.F.)!#7297=ORIENTED_EDGE('',*,*,#5478,.F.)!#7298=ORIENTED_EDGE('',*,*,#5479,.F.)!#7299=ORIENTED_EDGE('',*,*,#5480,.F.)!#7300=ORIENTED_EDGE('',*,*,#5481,.F.)!#7301=ORIENTED_EDGE('',*,*,#5482,.F.)!#7302=ORIENTED_EDGE('',*,*,#5483,.F.)!#7303=ORIENTED_EDGE('',*,*,#5483,.T.)!#7304=ORIENTED_EDGE('',*,*,#5478,.T.)!#7305=ORIENTED_EDGE('',*,*,#5484,.F.)!#7306=ORIENTED_EDGE('',*,*,#5485,.F.)!#7307=ORIENTED_EDGE('',*,*,#5471,.T.)!#7308=ORIENTED_EDGE('',*,*,#5486,.T.)!#7309=ORIENTED_EDGE('',*,*,#5480,.T.)!#7310=ORIENTED_EDGE('',*,*,#5472,.T.)!#7311=ORIENTED_EDGE('',*,*,#5487,.F.)!#7312=ORIENTED_EDGE('',*,*,#5467,.T.)!#7313=ORIENTED_EDGE('',*,*,#5488,.F.)!#7314=ORIENTED_EDGE('',*,*,#5484,.T.)!#7315=ORIENTED_EDGE('',*,*,#5481,.T.)!#7316=ORIENTED_EDGE('',*,*,#5486,.F.)!#7317=ORIENTED_EDGE('',*,*,#5470,.T.)!#7318=ORIENTED_EDGE('',*,*,#5489,.F.)!#7319=ORIENTED_EDGE('',*,*,#5490,.T.)!#7320=ORIENTED_EDGE('',*,*,#5475,.T.)!#7321=ORIENTED_EDGE('',*,*,#5476,.T.)!#7322=ORIENTED_EDGE('',*,*,#5490,.F.)!#7323=ORIENTED_EDGE('',*,*,#5491,.F.)!#7324=ORIENTED_EDGE('',*,*,#5468,.T.)!#7325=ORIENTED_EDGE('',*,*,#5487,.T.)!#7326=ORIENTED_EDGE('',*,*,#5474,.T.)!#7327=ORIENTED_EDGE('',*,*,#5473,.T.)!#7328=ORIENTED_EDGE('',*,*,#5479,.T.)!#7329=ORIENTED_EDGE('',*,*,#5482,.T.)!#7330=ORIENTED_EDGE('',*,*,#5477,.T.)!#7331=ORIENTED_EDGE('',*,*,#5489,.T.)!#7332=ORIENTED_EDGE('',*,*,#5492,.F.)!#7333=ORIENTED_EDGE('',*,*,#5493,.T.)!#7334=ORIENTED_EDGE('',*,*,#5494,.T.)!#7335=ORIENTED_EDGE('',*,*,#5495,.F.)!#7336=ORIENTED_EDGE('',*,*,#5491,.T.)!#7337=ORIENTED_EDGE('',*,*,#5485,.T.)!#7338=ORIENTED_EDGE('',*,*,#5488,.T.)!#7339=ORIENTED_EDGE('',*,*,#5496,.F.)!#7340=ORIENTED_EDGE('',*,*,#5497,.F.)!#7341=ORIENTED_EDGE('',*,*,#5498,.F.)!#7342=ORIENTED_EDGE('',*,*,#5499,.F.)!#7343=ORIENTED_EDGE('',*,*,#5500,.F.)!#7344=ORIENTED_EDGE('',*,*,#5501,.F.)!#7345=ORIENTED_EDGE('',*,*,#5502,.F.)!#7346=ORIENTED_EDGE('',*,*,#5503,.F.)!#7347=ORIENTED_EDGE('',*,*,#5504,.F.)!#7348=ORIENTED_EDGE('',*,*,#5505,.F.)!#7349=ORIENTED_EDGE('',*,*,#5506,.F.)!#7350=ORIENTED_EDGE('',*,*,#5507,.F.)!#7351=ORIENTED_EDGE('',*,*,#5508,.F.)!#7352=ORIENTED_EDGE('',*,*,#5509,.F.)!#7353=ORIENTED_EDGE('',*,*,#5510,.F.)!#7354=ORIENTED_EDGE('',*,*,#5511,.F.)!#7355=ORIENTED_EDGE('',*,*,#5512,.F.)!#7356=ORIENTED_EDGE('',*,*,#5513,.F.)!#7357=ORIENTED_EDGE('',*,*,#5514,.F.)!#7358=ORIENTED_EDGE('',*,*,#5515,.F.)!#7359=ORIENTED_EDGE('',*,*,#5516,.F.)!#7360=ORIENTED_EDGE('',*,*,#5516,.T.)!#7361=ORIENTED_EDGE('',*,*,#5511,.T.)!#7362=ORIENTED_EDGE('',*,*,#5517,.F.)!#7363=ORIENTED_EDGE('',*,*,#5493,.F.)!#7364=ORIENTED_EDGE('',*,*,#5504,.T.)!#7365=ORIENTED_EDGE('',*,*,#5518,.T.)!#7366=ORIENTED_EDGE('',*,*,#5513,.T.)!#7367=ORIENTED_EDGE('',*,*,#5505,.T.)!#7368=ORIENTED_EDGE('',*,*,#5519,.F.)!#7369=ORIENTED_EDGE('',*,*,#5500,.T.)!#7370=ORIENTED_EDGE('',*,*,#5494,.F.)!#7371=ORIENTED_EDGE('',*,*,#5517,.T.)!#7372=ORIENTED_EDGE('',*,*,#5514,.T.)!#7373=ORIENTED_EDGE('',*,*,#5518,.F.)!#7374=ORIENTED_EDGE('',*,*,#5503,.T.)!#7375=ORIENTED_EDGE('',*,*,#5520,.F.)!#7376=ORIENTED_EDGE('',*,*,#5521,.T.)!#7377=ORIENTED_EDGE('',*,*,#5508,.T.)!#7378=ORIENTED_EDGE('',*,*,#5509,.T.)!#7379=ORIENTED_EDGE('',*,*,#5521,.F.)!#7380=ORIENTED_EDGE('',*,*,#5522,.F.)!#7381=ORIENTED_EDGE('',*,*,#5501,.T.)!#7382=ORIENTED_EDGE('',*,*,#5519,.T.)!#7383=ORIENTED_EDGE('',*,*,#5507,.T.)!#7384=ORIENTED_EDGE('',*,*,#5506,.T.)!#7385=ORIENTED_EDGE('',*,*,#5512,.T.)!#7386=ORIENTED_EDGE('',*,*,#5515,.T.)!#7387=ORIENTED_EDGE('',*,*,#5510,.T.)!#7388=ORIENTED_EDGE('',*,*,#5520,.T.)!#7389=ORIENTED_EDGE('',*,*,#5523,.F.)!#7390=ORIENTED_EDGE('',*,*,#5524,.T.)!#7391=ORIENTED_EDGE('',*,*,#5525,.F.)!#7392=ORIENTED_EDGE('',*,*,#5522,.T.)!#7393=ORIENTED_EDGE('',*,*,#5526,.F.)!#7394=ORIENTED_EDGE('',*,*,#5527,.F.)!#7395=ORIENTED_EDGE('',*,*,#5528,.T.)!#7396=ORIENTED_EDGE('',*,*,#5529,.F.)!#7397=ORIENTED_EDGE('',*,*,#5528,.F.)!#7398=ORIENTED_EDGE('',*,*,#5526,.T.)!#7399=ORIENTED_EDGE('',*,*,#5530,.T.)!#7400=ORIENTED_EDGE('',*,*,#5530,.F.)!#7401=ORIENTED_EDGE('',*,*,#5527,.T.)!#7402=ORIENTED_EDGE('',*,*,#5531,.F.)!#7403=ORIENTED_EDGE('',*,*,#5532,.F.)!#7404=ORIENTED_EDGE('',*,*,#5533,.T.)!#7405=ORIENTED_EDGE('',*,*,#5534,.F.)!#7406=ORIENTED_EDGE('',*,*,#5533,.F.)!#7407=ORIENTED_EDGE('',*,*,#5531,.T.)!#7408=ORIENTED_EDGE('',*,*,#5535,.T.)!#7409=ORIENTED_EDGE('',*,*,#5535,.F.)!#7410=ORIENTED_EDGE('',*,*,#5532,.T.)!#7411=ORIENTED_EDGE('',*,*,#5536,.T.)!#7412=ORIENTED_EDGE('',*,*,#5537,.T.)!#7413=ORIENTED_EDGE('',*,*,#5538,.F.)!#7414=ORIENTED_EDGE('',*,*,#5539,.T.)!#7415=ORIENTED_EDGE('',*,*,#5540,.T.)!#7416=ORIENTED_EDGE('',*,*,#5523,.T.)!#7417=ORIENTED_EDGE('',*,*,#5502,.T.)!#7418=ORIENTED_EDGE('',*,*,#5492,.T.)!#7419=ORIENTED_EDGE('',*,*,#5469,.T.)!#7420=ORIENTED_EDGE('',*,*,#5498,.T.)!#7421=ORIENTED_EDGE('',*,*,#5541,.T.)!#7422=ORIENTED_EDGE('',*,*,#5542,.T.)!#7423=ORIENTED_EDGE('',*,*,#5543,.F.)!#7424=ORIENTED_EDGE('',*,*,#5544,.F.)!#7425=ORIENTED_EDGE('',*,*,#5545,.T.)!#7426=ORIENTED_EDGE('',*,*,#5546,.F.)!#7427=ORIENTED_EDGE('',*,*,#5547,.T.)!#7428=ORIENTED_EDGE('',*,*,#5496,.T.)!#7429=ORIENTED_EDGE('',*,*,#5466,.T.)!#7430=ORIENTED_EDGE('',*,*,#5495,.T.)!#7431=ORIENTED_EDGE('',*,*,#5499,.T.)!#7432=ORIENTED_EDGE('',*,*,#5525,.T.)!#7433=ORIENTED_EDGE('',*,*,#5548,.T.)!#7434=ORIENTED_EDGE('',*,*,#5549,.T.)!#7435=ORIENTED_EDGE('',*,*,#5529,.T.)!#7436=ORIENTED_EDGE('',*,*,#5534,.T.)!#7437=ORIENTED_EDGE('',*,*,#5524,.F.)!#7438=ORIENTED_EDGE('',*,*,#5540,.F.)!#7439=ORIENTED_EDGE('',*,*,#5550,.T.)!#7440=ORIENTED_EDGE('',*,*,#5548,.F.)!#7441=ORIENTED_EDGE('',*,*,#5550,.F.)!#7442=ORIENTED_EDGE('',*,*,#5539,.F.)!#7443=ORIENTED_EDGE('',*,*,#5551,.F.)!#7444=ORIENTED_EDGE('',*,*,#5542,.F.)!#7445=ORIENTED_EDGE('',*,*,#5552,.F.)!#7446=ORIENTED_EDGE('',*,*,#5549,.F.)!#7447=ORIENTED_EDGE('',*,*,#5552,.T.)!#7448=ORIENTED_EDGE('',*,*,#5541,.F.)!#7449=ORIENTED_EDGE('',*,*,#5497,.T.)!#7450=ORIENTED_EDGE('',*,*,#5547,.F.)!#7451=ORIENTED_EDGE('',*,*,#5553,.F.)!#7452=ORIENTED_EDGE('',*,*,#5554,.F.)!#7453=ORIENTED_EDGE('',*,*,#5555,.T.)!#7454=ORIENTED_EDGE('',*,*,#5556,.T.)!#7455=ORIENTED_EDGE('',*,*,#5557,.T.)!#7456=ORIENTED_EDGE('',*,*,#5558,.T.)!#7457=ORIENTED_EDGE('',*,*,#5556,.F.)!#7458=ORIENTED_EDGE('',*,*,#5559,.T.)!#7459=ORIENTED_EDGE('',*,*,#5555,.F.)!#7460=ORIENTED_EDGE('',*,*,#5560,.T.)!#7461=ORIENTED_EDGE('',*,*,#5561,.T.)!#7462=ORIENTED_EDGE('',*,*,#5562,.T.)!#7463=ORIENTED_EDGE('',*,*,#5560,.F.)!#7464=ORIENTED_EDGE('',*,*,#5559,.F.)!#7465=ORIENTED_EDGE('',*,*,#5563,.F.)!#7466=ORIENTED_EDGE('',*,*,#5564,.F.)!#7467=ORIENTED_EDGE('',*,*,#5565,.T.)!#7468=ORIENTED_EDGE('',*,*,#5557,.F.)!#7469=ORIENTED_EDGE('',*,*,#5558,.F.)!#7470=ORIENTED_EDGE('',*,*,#5565,.F.)!#7471=ORIENTED_EDGE('',*,*,#5566,.T.)!#7472=ORIENTED_EDGE('',*,*,#5567,.T.)!#7473=ORIENTED_EDGE('',*,*,#5568,.T.)!#7474=ORIENTED_EDGE('',*,*,#5569,.T.)!#7475=ORIENTED_EDGE('',*,*,#5567,.F.)!#7476=ORIENTED_EDGE('',*,*,#5570,.T.)!#7477=ORIENTED_EDGE('',*,*,#5571,.T.)!#7478=ORIENTED_EDGE('',*,*,#5572,.T.)!#7479=ORIENTED_EDGE('',*,*,#5573,.T.)!#7480=ORIENTED_EDGE('',*,*,#5568,.F.)!#7481=ORIENTED_EDGE('',*,*,#5569,.F.)!#7482=ORIENTED_EDGE('',*,*,#5573,.F.)!#7483=ORIENTED_EDGE('',*,*,#5574,.T.)!#7484=ORIENTED_EDGE('',*,*,#5575,.F.)!#7485=ORIENTED_EDGE('',*,*,#5576,.F.)!#7486=ORIENTED_EDGE('',*,*,#5566,.F.)!#7487=ORIENTED_EDGE('',*,*,#5570,.F.)!#7488=ORIENTED_EDGE('',*,*,#5577,.T.)!#7489=ORIENTED_EDGE('',*,*,#5578,.T.)!#7490=ORIENTED_EDGE('',*,*,#5579,.T.)!#7491=ORIENTED_EDGE('',*,*,#5580,.T.)!#7492=ORIENTED_EDGE('',*,*,#5578,.F.)!#7493=ORIENTED_EDGE('',*,*,#5581,.T.)!#7494=ORIENTED_EDGE('',*,*,#5580,.F.)!#7495=ORIENTED_EDGE('',*,*,#5579,.F.)!#7496=ORIENTED_EDGE('',*,*,#5582,.T.)!#7497=ORIENTED_EDGE('',*,*,#5583,.T.)!#7498=ORIENTED_EDGE('',*,*,#5584,.F.)!#7499=ORIENTED_EDGE('',*,*,#5585,.F.)!#7500=ORIENTED_EDGE('',*,*,#5586,.T.)!#7501=ORIENTED_EDGE('',*,*,#5581,.F.)!#7502=ORIENTED_EDGE('',*,*,#5577,.F.)!#7503=ORIENTED_EDGE('',*,*,#5586,.F.)!#7504=ORIENTED_EDGE('',*,*,#5587,.F.)!#7505=ORIENTED_EDGE('',*,*,#5584,.T.)!#7506=ORIENTED_EDGE('',*,*,#5588,.T.)!#7507=ORIENTED_EDGE('',*,*,#5571,.F.)!#7508=ORIENTED_EDGE('',*,*,#5574,.F.)!#7509=ORIENTED_EDGE('',*,*,#5572,.F.)!#7510=ORIENTED_EDGE('',*,*,#5588,.F.)!#7511=ORIENTED_EDGE('',*,*,#5587,.T.)!#7512=ORIENTED_EDGE('',*,*,#5585,.T.)!#7513=ORIENTED_EDGE('',*,*,#5562,.F.)!#7514=ORIENTED_EDGE('',*,*,#5561,.F.)!#7515=ORIENTED_EDGE('',*,*,#5589,.T.)!#7516=ORIENTED_EDGE('',*,*,#5576,.T.)!#7517=ORIENTED_EDGE('',*,*,#5575,.T.)!#7518=ORIENTED_EDGE('',*,*,#5589,.F.)!#7519=ORIENTED_EDGE('',*,*,#5553,.T.)!#7520=ORIENTED_EDGE('',*,*,#5590,.T.)!#7521=ORIENTED_EDGE('',*,*,#5582,.F.)!#7522=ORIENTED_EDGE('',*,*,#5583,.F.)!#7523=ORIENTED_EDGE('',*,*,#5590,.F.)!#7524=ORIENTED_EDGE('',*,*,#5554,.T.)!#7525=ORIENTED_EDGE('',*,*,#5591,.T.)!#7526=ORIENTED_EDGE('',*,*,#5592,.T.)!#7527=ORIENTED_EDGE('',*,*,#5593,.T.)!#7528=ORIENTED_EDGE('',*,*,#5594,.T.)!#7529=ORIENTED_EDGE('',*,*,#5595,.F.)!#7530=ORIENTED_EDGE('',*,*,#5596,.F.)!#7531=ORIENTED_EDGE('',*,*,#5597,.T.)!#7532=ORIENTED_EDGE('',*,*,#5598,.T.)!#7533=ORIENTED_EDGE('',*,*,#5599,.T.)!#7534=ORIENTED_EDGE('',*,*,#5600,.T.)!#7535=ORIENTED_EDGE('',*,*,#5598,.F.)!#7536=ORIENTED_EDGE('',*,*,#5601,.T.)!#7537=ORIENTED_EDGE('',*,*,#5597,.F.)!#7538=ORIENTED_EDGE('',*,*,#5602,.T.)!#7539=ORIENTED_EDGE('',*,*,#5603,.T.)!#7540=ORIENTED_EDGE('',*,*,#5604,.T.)!#7541=ORIENTED_EDGE('',*,*,#5602,.F.)!#7542=ORIENTED_EDGE('',*,*,#5601,.F.)!#7543=ORIENTED_EDGE('',*,*,#5591,.F.)!#7544=ORIENTED_EDGE('',*,*,#5592,.F.)!#7545=ORIENTED_EDGE('',*,*,#5605,.T.)!#7546=ORIENTED_EDGE('',*,*,#5599,.F.)!#7547=ORIENTED_EDGE('',*,*,#5600,.F.)!#7548=ORIENTED_EDGE('',*,*,#5605,.F.)!#7549=ORIENTED_EDGE('',*,*,#5606,.T.)!#7550=ORIENTED_EDGE('',*,*,#5607,.T.)!#7551=ORIENTED_EDGE('',*,*,#5608,.T.)!#7552=ORIENTED_EDGE('',*,*,#5609,.T.)!#7553=ORIENTED_EDGE('',*,*,#5607,.F.)!#7554=ORIENTED_EDGE('',*,*,#5610,.T.)!#7555=ORIENTED_EDGE('',*,*,#5611,.T.)!#7556=ORIENTED_EDGE('',*,*,#5612,.T.)!#7557=ORIENTED_EDGE('',*,*,#5613,.T.)!#7558=ORIENTED_EDGE('',*,*,#5608,.F.)!#7559=ORIENTED_EDGE('',*,*,#5609,.F.)!#7560=ORIENTED_EDGE('',*,*,#5613,.F.)!#7561=ORIENTED_EDGE('',*,*,#5614,.T.)!#7562=ORIENTED_EDGE('',*,*,#5615,.F.)!#7563=ORIENTED_EDGE('',*,*,#5616,.F.)!#7564=ORIENTED_EDGE('',*,*,#5606,.F.)!#7565=ORIENTED_EDGE('',*,*,#5610,.F.)!#7566=ORIENTED_EDGE('',*,*,#5617,.T.)!#7567=ORIENTED_EDGE('',*,*,#5618,.T.)!#7568=ORIENTED_EDGE('',*,*,#5619,.T.)!#7569=ORIENTED_EDGE('',*,*,#5620,.T.)!#7570=ORIENTED_EDGE('',*,*,#5618,.F.)!#7571=ORIENTED_EDGE('',*,*,#5621,.T.)!#7572=ORIENTED_EDGE('',*,*,#5620,.F.)!#7573=ORIENTED_EDGE('',*,*,#5619,.F.)!#7574=ORIENTED_EDGE('',*,*,#5622,.T.)!#7575=ORIENTED_EDGE('',*,*,#5623,.T.)!#7576=ORIENTED_EDGE('',*,*,#5624,.F.)!#7577=ORIENTED_EDGE('',*,*,#5625,.F.)!#7578=ORIENTED_EDGE('',*,*,#5626,.T.)!#7579=ORIENTED_EDGE('',*,*,#5621,.F.)!#7580=ORIENTED_EDGE('',*,*,#5617,.F.)!#7581=ORIENTED_EDGE('',*,*,#5626,.F.)!#7582=ORIENTED_EDGE('',*,*,#5627,.F.)!#7583=ORIENTED_EDGE('',*,*,#5624,.T.)!#7584=ORIENTED_EDGE('',*,*,#5628,.T.)!#7585=ORIENTED_EDGE('',*,*,#5611,.F.)!#7586=ORIENTED_EDGE('',*,*,#5614,.F.)!#7587=ORIENTED_EDGE('',*,*,#5612,.F.)!#7588=ORIENTED_EDGE('',*,*,#5628,.F.)!#7589=ORIENTED_EDGE('',*,*,#5627,.T.)!#7590=ORIENTED_EDGE('',*,*,#5625,.T.)!#7591=ORIENTED_EDGE('',*,*,#5604,.F.)!#7592=ORIENTED_EDGE('',*,*,#5603,.F.)!#7593=ORIENTED_EDGE('',*,*,#5629,.T.)!#7594=ORIENTED_EDGE('',*,*,#5616,.T.)!#7595=ORIENTED_EDGE('',*,*,#5615,.T.)!#7596=ORIENTED_EDGE('',*,*,#5629,.F.)!#7597=ORIENTED_EDGE('',*,*,#5595,.T.)!#7598=ORIENTED_EDGE('',*,*,#5630,.T.)!#7599=ORIENTED_EDGE('',*,*,#5622,.F.)!#7600=ORIENTED_EDGE('',*,*,#5623,.F.)!#7601=ORIENTED_EDGE('',*,*,#5630,.F.)!#7602=ORIENTED_EDGE('',*,*,#5596,.T.)!#7603=ORIENTED_EDGE('',*,*,#5631,.F.)!#7604=ORIENTED_EDGE('',*,*,#5632,.T.)!#7605=ORIENTED_EDGE('',*,*,#5633,.F.)!#7606=ORIENTED_EDGE('',*,*,#5634,.F.)!#7607=ORIENTED_EDGE('',*,*,#5635,.F.)!#7608=ORIENTED_EDGE('',*,*,#5632,.F.)!#7609=ORIENTED_EDGE('',*,*,#5636,.T.)!#7610=ORIENTED_EDGE('',*,*,#5637,.T.)!#7611=ORIENTED_EDGE('',*,*,#5634,.T.)!#7612=ORIENTED_EDGE('',*,*,#5633,.T.)!#7613=ORIENTED_EDGE('',*,*,#5635,.T.)!#7614=ORIENTED_EDGE('',*,*,#5637,.F.)!#7615=ORIENTED_EDGE('',*,*,#5638,.T.)!#7616=ORIENTED_EDGE('',*,*,#5639,.T.)!#7617=ORIENTED_EDGE('',*,*,#5640,.T.)!#7618=ORIENTED_EDGE('',*,*,#5636,.F.)!#7619=ORIENTED_EDGE('',*,*,#5638,.F.)!#7620=ORIENTED_EDGE('',*,*,#5641,.F.)!#7621=ORIENTED_EDGE('',*,*,#5642,.F.)!#7622=ORIENTED_EDGE('',*,*,#5643,.F.)!#7623=ORIENTED_EDGE('',*,*,#5644,.F.)!#7624=ORIENTED_EDGE('',*,*,#5645,.F.)!#7625=ORIENTED_EDGE('',*,*,#5646,.F.)!#7626=ORIENTED_EDGE('',*,*,#5647,.F.)!#7627=ORIENTED_EDGE('',*,*,#5648,.F.)!#7628=ORIENTED_EDGE('',*,*,#5649,.F.)!#7629=ORIENTED_EDGE('',*,*,#5650,.F.)!#7630=ORIENTED_EDGE('',*,*,#5651,.F.)!#7631=ORIENTED_EDGE('',*,*,#5652,.F.)!#7632=ORIENTED_EDGE('',*,*,#5653,.F.)!#7633=ORIENTED_EDGE('',*,*,#5654,.F.)!#7634=ORIENTED_EDGE('',*,*,#5655,.F.)!#7635=ORIENTED_EDGE('',*,*,#5656,.F.)!#7636=ORIENTED_EDGE('',*,*,#5657,.F.)!#7637=ORIENTED_EDGE('',*,*,#5658,.F.)!#7638=ORIENTED_EDGE('',*,*,#5656,.T.)!#7639=ORIENTED_EDGE('',*,*,#5653,.T.)!#7640=ORIENTED_EDGE('',*,*,#5659,.F.)!#7641=ORIENTED_EDGE('',*,*,#5660,.F.)!#7642=ORIENTED_EDGE('',*,*,#5661,.T.)!#7643=ORIENTED_EDGE('',*,*,#5655,.T.)!#7644=ORIENTED_EDGE('',*,*,#5647,.T.)!#7645=ORIENTED_EDGE('',*,*,#5662,.F.)!#7646=ORIENTED_EDGE('',*,*,#5663,.F.)!#7647=ORIENTED_EDGE('',*,*,#5659,.T.)!#7648=ORIENTED_EDGE('',*,*,#5649,.T.)!#7649=ORIENTED_EDGE('',*,*,#5641,.T.)!#7650=ORIENTED_EDGE('',*,*,#5664,.F.)!#7651=ORIENTED_EDGE('',*,*,#5665,.F.)!#7652=ORIENTED_EDGE('',*,*,#5662,.T.)!#7653=ORIENTED_EDGE('',*,*,#5665,.T.)!#7654=ORIENTED_EDGE('',*,*,#5666,.T.)!#7655=ORIENTED_EDGE('',*,*,#5667,.T.)!#7656=ORIENTED_EDGE('',*,*,#5668,.T.)!#7657=ORIENTED_EDGE('',*,*,#5660,.T.)!#7658=ORIENTED_EDGE('',*,*,#5663,.T.)!#7659=ORIENTED_EDGE('',*,*,#5669,.F.)!#7660=ORIENTED_EDGE('',*,*,#5670,.F.)!#7661=ORIENTED_EDGE('',*,*,#5645,.T.)!#7662=ORIENTED_EDGE('',*,*,#5671,.F.)!#7663=ORIENTED_EDGE('',*,*,#5666,.F.)!#7664=ORIENTED_EDGE('',*,*,#5664,.T.)!#7665=ORIENTED_EDGE('',*,*,#5643,.T.)!#7666=ORIENTED_EDGE('',*,*,#5651,.T.)!#7667=ORIENTED_EDGE('',*,*,#5672,.F.)!#7668=ORIENTED_EDGE('',*,*,#5667,.F.)!#7669=ORIENTED_EDGE('',*,*,#5671,.T.)!#7670=ORIENTED_EDGE('',*,*,#5644,.T.)!#7671=ORIENTED_EDGE('',*,*,#5657,.T.)!#7672=ORIENTED_EDGE('',*,*,#5661,.F.)!#7673=ORIENTED_EDGE('',*,*,#5668,.F.)!#7674=ORIENTED_EDGE('',*,*,#5672,.T.)!#7675=ORIENTED_EDGE('',*,*,#5650,.T.)!#7676=ORIENTED_EDGE('',*,*,#5642,.T.)!#7677=ORIENTED_EDGE('',*,*,#5648,.T.)!#7678=ORIENTED_EDGE('',*,*,#5654,.T.)!#7679=ORIENTED_EDGE('',*,*,#5658,.T.)!#7680=ORIENTED_EDGE('',*,*,#5652,.T.)!#7681=ORIENTED_EDGE('',*,*,#5646,.T.)!#7682=ORIENTED_EDGE('',*,*,#5639,.F.)!#7683=ORIENTED_EDGE('',*,*,#5640,.F.)!#7684=ORIENTED_EDGE('',*,*,#5673,.T.)!#7685=ORIENTED_EDGE('',*,*,#5670,.T.)!#7686=ORIENTED_EDGE('',*,*,#5669,.T.)!#7687=ORIENTED_EDGE('',*,*,#5673,.F.)!#7688=ORIENTED_EDGE('',*,*,#5674,.F.)!#7689=ORIENTED_EDGE('',*,*,#5675,.T.)!#7690=ORIENTED_EDGE('',*,*,#5676,.F.)!#7691=ORIENTED_EDGE('',*,*,#5677,.F.)!#7692=ORIENTED_EDGE('',*,*,#5678,.F.)!#7693=ORIENTED_EDGE('',*,*,#5675,.F.)!#7694=ORIENTED_EDGE('',*,*,#5679,.T.)!#7695=ORIENTED_EDGE('',*,*,#5680,.T.)!#7696=ORIENTED_EDGE('',*,*,#5677,.T.)!#7697=ORIENTED_EDGE('',*,*,#5676,.T.)!#7698=ORIENTED_EDGE('',*,*,#5678,.T.)!#7699=ORIENTED_EDGE('',*,*,#5680,.F.)!#7700=ORIENTED_EDGE('',*,*,#5681,.T.)!#7701=ORIENTED_EDGE('',*,*,#5682,.T.)!#7702=ORIENTED_EDGE('',*,*,#5683,.T.)!#7703=ORIENTED_EDGE('',*,*,#5679,.F.)!#7704=ORIENTED_EDGE('',*,*,#5681,.F.)!#7705=ORIENTED_EDGE('',*,*,#5684,.F.)!#7706=ORIENTED_EDGE('',*,*,#5685,.F.)!#7707=ORIENTED_EDGE('',*,*,#5686,.F.)!#7708=ORIENTED_EDGE('',*,*,#5687,.F.)!#7709=ORIENTED_EDGE('',*,*,#5688,.F.)!#7710=ORIENTED_EDGE('',*,*,#5689,.F.)!#7711=ORIENTED_EDGE('',*,*,#5690,.F.)!#7712=ORIENTED_EDGE('',*,*,#5691,.F.)!#7713=ORIENTED_EDGE('',*,*,#5692,.F.)!#7714=ORIENTED_EDGE('',*,*,#5693,.F.)!#7715=ORIENTED_EDGE('',*,*,#5694,.F.)!#7716=ORIENTED_EDGE('',*,*,#5695,.F.)!#7717=ORIENTED_EDGE('',*,*,#5696,.F.)!#7718=ORIENTED_EDGE('',*,*,#5697,.F.)!#7719=ORIENTED_EDGE('',*,*,#5698,.F.)!#7720=ORIENTED_EDGE('',*,*,#5699,.F.)!#7721=ORIENTED_EDGE('',*,*,#5700,.F.)!#7722=ORIENTED_EDGE('',*,*,#5701,.F.)!#7723=ORIENTED_EDGE('',*,*,#5699,.T.)!#7724=ORIENTED_EDGE('',*,*,#5696,.T.)!#7725=ORIENTED_EDGE('',*,*,#5702,.F.)!#7726=ORIENTED_EDGE('',*,*,#5703,.F.)!#7727=ORIENTED_EDGE('',*,*,#5704,.T.)!#7728=ORIENTED_EDGE('',*,*,#5698,.T.)!#7729=ORIENTED_EDGE('',*,*,#5690,.T.)!#7730=ORIENTED_EDGE('',*,*,#5705,.F.)!#7731=ORIENTED_EDGE('',*,*,#5706,.F.)!#7732=ORIENTED_EDGE('',*,*,#5702,.T.)!#7733=ORIENTED_EDGE('',*,*,#5692,.T.)!#7734=ORIENTED_EDGE('',*,*,#5684,.T.)!#7735=ORIENTED_EDGE('',*,*,#5707,.F.)!#7736=ORIENTED_EDGE('',*,*,#5708,.F.)!#7737=ORIENTED_EDGE('',*,*,#5705,.T.)!#7738=ORIENTED_EDGE('',*,*,#5708,.T.)!#7739=ORIENTED_EDGE('',*,*,#5709,.T.)!#7740=ORIENTED_EDGE('',*,*,#5710,.T.)!#7741=ORIENTED_EDGE('',*,*,#5711,.T.)!#7742=ORIENTED_EDGE('',*,*,#5703,.T.)!#7743=ORIENTED_EDGE('',*,*,#5706,.T.)!#7744=ORIENTED_EDGE('',*,*,#5712,.F.)!#7745=ORIENTED_EDGE('',*,*,#5713,.F.)!#7746=ORIENTED_EDGE('',*,*,#5688,.T.)!#7747=ORIENTED_EDGE('',*,*,#5714,.F.)!#7748=ORIENTED_EDGE('',*,*,#5709,.F.)!#7749=ORIENTED_EDGE('',*,*,#5707,.T.)!#7750=ORIENTED_EDGE('',*,*,#5686,.T.)!#7751=ORIENTED_EDGE('',*,*,#5694,.T.)!#7752=ORIENTED_EDGE('',*,*,#5715,.F.)!#7753=ORIENTED_EDGE('',*,*,#5710,.F.)!#7754=ORIENTED_EDGE('',*,*,#5714,.T.)!#7755=ORIENTED_EDGE('',*,*,#5687,.T.)!#7756=ORIENTED_EDGE('',*,*,#5700,.T.)!#7757=ORIENTED_EDGE('',*,*,#5704,.F.)!#7758=ORIENTED_EDGE('',*,*,#5711,.F.)!#7759=ORIENTED_EDGE('',*,*,#5715,.T.)!#7760=ORIENTED_EDGE('',*,*,#5693,.T.)!#7761=ORIENTED_EDGE('',*,*,#5685,.T.)!#7762=ORIENTED_EDGE('',*,*,#5691,.T.)!#7763=ORIENTED_EDGE('',*,*,#5697,.T.)!#7764=ORIENTED_EDGE('',*,*,#5701,.T.)!#7765=ORIENTED_EDGE('',*,*,#5695,.T.)!#7766=ORIENTED_EDGE('',*,*,#5689,.T.)!#7767=ORIENTED_EDGE('',*,*,#5682,.F.)!#7768=ORIENTED_EDGE('',*,*,#5683,.F.)!#7769=ORIENTED_EDGE('',*,*,#5716,.T.)!#7770=ORIENTED_EDGE('',*,*,#5713,.T.)!#7771=ORIENTED_EDGE('',*,*,#5712,.T.)!#7772=ORIENTED_EDGE('',*,*,#5716,.F.)!#7773=ORIENTED_EDGE('',*,*,#5717,.F.)!#7774=ORIENTED_EDGE('',*,*,#5718,.T.)!#7775=ORIENTED_EDGE('',*,*,#5719,.F.)!#7776=ORIENTED_EDGE('',*,*,#5720,.F.)!#7777=ORIENTED_EDGE('',*,*,#5721,.F.)!#7778=ORIENTED_EDGE('',*,*,#5718,.F.)!#7779=ORIENTED_EDGE('',*,*,#5722,.T.)!#7780=ORIENTED_EDGE('',*,*,#5723,.T.)!#7781=ORIENTED_EDGE('',*,*,#5720,.T.)!#7782=ORIENTED_EDGE('',*,*,#5719,.T.)!#7783=ORIENTED_EDGE('',*,*,#5721,.T.)!#7784=ORIENTED_EDGE('',*,*,#5723,.F.)!#7785=ORIENTED_EDGE('',*,*,#5724,.T.)!#7786=ORIENTED_EDGE('',*,*,#5725,.T.)!#7787=ORIENTED_EDGE('',*,*,#5726,.T.)!#7788=ORIENTED_EDGE('',*,*,#5722,.F.)!#7789=ORIENTED_EDGE('',*,*,#5724,.F.)!#7790=ORIENTED_EDGE('',*,*,#5727,.F.)!#7791=ORIENTED_EDGE('',*,*,#5728,.F.)!#7792=ORIENTED_EDGE('',*,*,#5729,.F.)!#7793=ORIENTED_EDGE('',*,*,#5730,.F.)!#7794=ORIENTED_EDGE('',*,*,#5731,.F.)!#7795=ORIENTED_EDGE('',*,*,#5732,.F.)!#7796=ORIENTED_EDGE('',*,*,#5733,.F.)!#7797=ORIENTED_EDGE('',*,*,#5734,.F.)!#7798=ORIENTED_EDGE('',*,*,#5735,.F.)!#7799=ORIENTED_EDGE('',*,*,#5736,.F.)!#7800=ORIENTED_EDGE('',*,*,#5737,.F.)!#7801=ORIENTED_EDGE('',*,*,#5738,.F.)!#7802=ORIENTED_EDGE('',*,*,#5739,.F.)!#7803=ORIENTED_EDGE('',*,*,#5740,.F.)!#7804=ORIENTED_EDGE('',*,*,#5741,.F.)!#7805=ORIENTED_EDGE('',*,*,#5742,.F.)!#7806=ORIENTED_EDGE('',*,*,#5743,.F.)!#7807=ORIENTED_EDGE('',*,*,#5744,.F.)!#7808=ORIENTED_EDGE('',*,*,#5742,.T.)!#7809=ORIENTED_EDGE('',*,*,#5739,.T.)!#7810=ORIENTED_EDGE('',*,*,#5745,.F.)!#7811=ORIENTED_EDGE('',*,*,#5746,.F.)!#7812=ORIENTED_EDGE('',*,*,#5747,.T.)!#7813=ORIENTED_EDGE('',*,*,#5741,.T.)!#7814=ORIENTED_EDGE('',*,*,#5733,.T.)!#7815=ORIENTED_EDGE('',*,*,#5748,.F.)!#7816=ORIENTED_EDGE('',*,*,#5749,.F.)!#7817=ORIENTED_EDGE('',*,*,#5745,.T.)!#7818=ORIENTED_EDGE('',*,*,#5735,.T.)!#7819=ORIENTED_EDGE('',*,*,#5727,.T.)!#7820=ORIENTED_EDGE('',*,*,#5750,.F.)!#7821=ORIENTED_EDGE('',*,*,#5751,.F.)!#7822=ORIENTED_EDGE('',*,*,#5748,.T.)!#7823=ORIENTED_EDGE('',*,*,#5751,.T.)!#7824=ORIENTED_EDGE('',*,*,#5752,.T.)!#7825=ORIENTED_EDGE('',*,*,#5753,.T.)!#7826=ORIENTED_EDGE('',*,*,#5754,.T.)!#7827=ORIENTED_EDGE('',*,*,#5746,.T.)!#7828=ORIENTED_EDGE('',*,*,#5749,.T.)!#7829=ORIENTED_EDGE('',*,*,#5755,.F.)!#7830=ORIENTED_EDGE('',*,*,#5756,.F.)!#7831=ORIENTED_EDGE('',*,*,#5731,.T.)!#7832=ORIENTED_EDGE('',*,*,#5757,.F.)!#7833=ORIENTED_EDGE('',*,*,#5752,.F.)!#7834=ORIENTED_EDGE('',*,*,#5750,.T.)!#7835=ORIENTED_EDGE('',*,*,#5729,.T.)!#7836=ORIENTED_EDGE('',*,*,#5737,.T.)!#7837=ORIENTED_EDGE('',*,*,#5758,.F.)!#7838=ORIENTED_EDGE('',*,*,#5753,.F.)!#7839=ORIENTED_EDGE('',*,*,#5757,.T.)!#7840=ORIENTED_EDGE('',*,*,#5730,.T.)!#7841=ORIENTED_EDGE('',*,*,#5743,.T.)!#7842=ORIENTED_EDGE('',*,*,#5747,.F.)!#7843=ORIENTED_EDGE('',*,*,#5754,.F.)!#7844=ORIENTED_EDGE('',*,*,#5758,.T.)!#7845=ORIENTED_EDGE('',*,*,#5736,.T.)!#7846=ORIENTED_EDGE('',*,*,#5728,.T.)!#7847=ORIENTED_EDGE('',*,*,#5734,.T.)!#7848=ORIENTED_EDGE('',*,*,#5740,.T.)!#7849=ORIENTED_EDGE('',*,*,#5744,.T.)!#7850=ORIENTED_EDGE('',*,*,#5738,.T.)!#7851=ORIENTED_EDGE('',*,*,#5732,.T.)!#7852=ORIENTED_EDGE('',*,*,#5725,.F.)!#7853=ORIENTED_EDGE('',*,*,#5726,.F.)!#7854=ORIENTED_EDGE('',*,*,#5759,.T.)!#7855=ORIENTED_EDGE('',*,*,#5756,.T.)!#7856=ORIENTED_EDGE('',*,*,#5755,.T.)!#7857=ORIENTED_EDGE('',*,*,#5759,.F.)!#7858=ORIENTED_EDGE('',*,*,#5760,.F.)!#7859=ORIENTED_EDGE('',*,*,#5761,.T.)!#7860=ORIENTED_EDGE('',*,*,#5762,.F.)!#7861=ORIENTED_EDGE('',*,*,#5763,.F.)!#7862=ORIENTED_EDGE('',*,*,#5764,.F.)!#7863=ORIENTED_EDGE('',*,*,#5761,.F.)!#7864=ORIENTED_EDGE('',*,*,#5765,.T.)!#7865=ORIENTED_EDGE('',*,*,#5766,.T.)!#7866=ORIENTED_EDGE('',*,*,#5763,.T.)!#7867=ORIENTED_EDGE('',*,*,#5762,.T.)!#7868=ORIENTED_EDGE('',*,*,#5764,.T.)!#7869=ORIENTED_EDGE('',*,*,#5766,.F.)!#7870=ORIENTED_EDGE('',*,*,#5767,.T.)!#7871=ORIENTED_EDGE('',*,*,#5768,.T.)!#7872=ORIENTED_EDGE('',*,*,#5769,.T.)!#7873=ORIENTED_EDGE('',*,*,#5765,.F.)!#7874=ORIENTED_EDGE('',*,*,#5767,.F.)!#7875=ORIENTED_EDGE('',*,*,#5770,.F.)!#7876=ORIENTED_EDGE('',*,*,#5771,.F.)!#7877=ORIENTED_EDGE('',*,*,#5772,.F.)!#7878=ORIENTED_EDGE('',*,*,#5773,.F.)!#7879=ORIENTED_EDGE('',*,*,#5774,.F.)!#7880=ORIENTED_EDGE('',*,*,#5775,.F.)!#7881=ORIENTED_EDGE('',*,*,#5776,.F.)!#7882=ORIENTED_EDGE('',*,*,#5777,.F.)!#7883=ORIENTED_EDGE('',*,*,#5778,.F.)!#7884=ORIENTED_EDGE('',*,*,#5779,.F.)!#7885=ORIENTED_EDGE('',*,*,#5780,.F.)!#7886=ORIENTED_EDGE('',*,*,#5781,.F.)!#7887=ORIENTED_EDGE('',*,*,#5782,.F.)!#7888=ORIENTED_EDGE('',*,*,#5783,.F.)!#7889=ORIENTED_EDGE('',*,*,#5784,.F.)!#7890=ORIENTED_EDGE('',*,*,#5785,.F.)!#7891=ORIENTED_EDGE('',*,*,#5786,.F.)!#7892=ORIENTED_EDGE('',*,*,#5787,.F.)!#7893=ORIENTED_EDGE('',*,*,#5785,.T.)!#7894=ORIENTED_EDGE('',*,*,#5782,.T.)!#7895=ORIENTED_EDGE('',*,*,#5788,.F.)!#7896=ORIENTED_EDGE('',*,*,#5789,.F.)!#7897=ORIENTED_EDGE('',*,*,#5790,.T.)!#7898=ORIENTED_EDGE('',*,*,#5784,.T.)!#7899=ORIENTED_EDGE('',*,*,#5776,.T.)!#7900=ORIENTED_EDGE('',*,*,#5791,.F.)!#7901=ORIENTED_EDGE('',*,*,#5792,.F.)!#7902=ORIENTED_EDGE('',*,*,#5788,.T.)!#7903=ORIENTED_EDGE('',*,*,#5778,.T.)!#7904=ORIENTED_EDGE('',*,*,#5770,.T.)!#7905=ORIENTED_EDGE('',*,*,#5793,.F.)!#7906=ORIENTED_EDGE('',*,*,#5794,.F.)!#7907=ORIENTED_EDGE('',*,*,#5791,.T.)!#7908=ORIENTED_EDGE('',*,*,#5794,.T.)!#7909=ORIENTED_EDGE('',*,*,#5795,.T.)!#7910=ORIENTED_EDGE('',*,*,#5796,.T.)!#7911=ORIENTED_EDGE('',*,*,#5797,.T.)!#7912=ORIENTED_EDGE('',*,*,#5789,.T.)!#7913=ORIENTED_EDGE('',*,*,#5792,.T.)!#7914=ORIENTED_EDGE('',*,*,#5798,.F.)!#7915=ORIENTED_EDGE('',*,*,#5799,.F.)!#7916=ORIENTED_EDGE('',*,*,#5774,.T.)!#7917=ORIENTED_EDGE('',*,*,#5800,.F.)!#7918=ORIENTED_EDGE('',*,*,#5795,.F.)!#7919=ORIENTED_EDGE('',*,*,#5793,.T.)!#7920=ORIENTED_EDGE('',*,*,#5772,.T.)!#7921=ORIENTED_EDGE('',*,*,#5780,.T.)!#7922=ORIENTED_EDGE('',*,*,#5801,.F.)!#7923=ORIENTED_EDGE('',*,*,#5796,.F.)!#7924=ORIENTED_EDGE('',*,*,#5800,.T.)!#7925=ORIENTED_EDGE('',*,*,#5773,.T.)!#7926=ORIENTED_EDGE('',*,*,#5786,.T.)!#7927=ORIENTED_EDGE('',*,*,#5790,.F.)!#7928=ORIENTED_EDGE('',*,*,#5797,.F.)!#7929=ORIENTED_EDGE('',*,*,#5801,.T.)!#7930=ORIENTED_EDGE('',*,*,#5779,.T.)!#7931=ORIENTED_EDGE('',*,*,#5771,.T.)!#7932=ORIENTED_EDGE('',*,*,#5777,.T.)!#7933=ORIENTED_EDGE('',*,*,#5783,.T.)!#7934=ORIENTED_EDGE('',*,*,#5787,.T.)!#7935=ORIENTED_EDGE('',*,*,#5781,.T.)!#7936=ORIENTED_EDGE('',*,*,#5775,.T.)!#7937=ORIENTED_EDGE('',*,*,#5768,.F.)!#7938=ORIENTED_EDGE('',*,*,#5769,.F.)!#7939=ORIENTED_EDGE('',*,*,#5802,.T.)!#7940=ORIENTED_EDGE('',*,*,#5799,.T.)!#7941=ORIENTED_EDGE('',*,*,#5798,.T.)!#7942=ORIENTED_EDGE('',*,*,#5802,.F.)!#7943=ORIENTED_EDGE('',*,*,#5803,.F.)!#7944=ORIENTED_EDGE('',*,*,#5804,.F.)!#7945=ORIENTED_EDGE('',*,*,#5805,.F.)!#7946=ORIENTED_EDGE('',*,*,#5806,.F.)!#7947=ORIENTED_EDGE('',*,*,#5807,.F.)!#7948=ORIENTED_EDGE('',*,*,#5808,.F.)!#7949=ORIENTED_EDGE('',*,*,#5809,.F.)!#7950=ORIENTED_EDGE('',*,*,#5810,.F.)!#7951=ORIENTED_EDGE('',*,*,#5811,.F.)!#7952=ORIENTED_EDGE('',*,*,#5812,.F.)!#7953=ORIENTED_EDGE('',*,*,#5813,.F.)!#7954=ORIENTED_EDGE('',*,*,#5814,.F.)!#7955=ORIENTED_EDGE('',*,*,#5815,.F.)!#7956=ORIENTED_EDGE('',*,*,#5816,.F.)!#7957=ORIENTED_EDGE('',*,*,#5817,.F.)!#7958=ORIENTED_EDGE('',*,*,#5818,.F.)!#7959=ORIENTED_EDGE('',*,*,#5819,.F.)!#7960=ORIENTED_EDGE('',*,*,#5820,.F.)!#7961=ORIENTED_EDGE('',*,*,#5818,.T.)!#7962=ORIENTED_EDGE('',*,*,#5815,.T.)!#7963=ORIENTED_EDGE('',*,*,#5821,.F.)!#7964=ORIENTED_EDGE('',*,*,#5822,.F.)!#7965=ORIENTED_EDGE('',*,*,#5823,.T.)!#7966=ORIENTED_EDGE('',*,*,#5817,.T.)!#7967=ORIENTED_EDGE('',*,*,#5809,.T.)!#7968=ORIENTED_EDGE('',*,*,#5824,.F.)!#7969=ORIENTED_EDGE('',*,*,#5825,.F.)!#7970=ORIENTED_EDGE('',*,*,#5821,.T.)!#7971=ORIENTED_EDGE('',*,*,#5811,.T.)!#7972=ORIENTED_EDGE('',*,*,#5803,.T.)!#7973=ORIENTED_EDGE('',*,*,#5826,.F.)!#7974=ORIENTED_EDGE('',*,*,#5827,.F.)!#7975=ORIENTED_EDGE('',*,*,#5824,.T.)!#7976=ORIENTED_EDGE('',*,*,#5807,.T.)!#7977=ORIENTED_EDGE('',*,*,#5828,.F.)!#7978=ORIENTED_EDGE('',*,*,#5829,.F.)!#7979=ORIENTED_EDGE('',*,*,#5826,.T.)!#7980=ORIENTED_EDGE('',*,*,#5805,.T.)!#7981=ORIENTED_EDGE('',*,*,#5813,.T.)!#7982=ORIENTED_EDGE('',*,*,#5830,.F.)!#7983=ORIENTED_EDGE('',*,*,#5831,.F.)!#7984=ORIENTED_EDGE('',*,*,#5828,.T.)!#7985=ORIENTED_EDGE('',*,*,#5806,.T.)!#7986=ORIENTED_EDGE('',*,*,#5819,.T.)!#7987=ORIENTED_EDGE('',*,*,#5823,.F.)!#7988=ORIENTED_EDGE('',*,*,#5832,.F.)!#7989=ORIENTED_EDGE('',*,*,#5830,.T.)!#7990=ORIENTED_EDGE('',*,*,#5812,.T.)!#7991=ORIENTED_EDGE('',*,*,#5804,.T.)!#7992=ORIENTED_EDGE('',*,*,#5810,.T.)!#7993=ORIENTED_EDGE('',*,*,#5816,.T.)!#7994=ORIENTED_EDGE('',*,*,#5820,.T.)!#7995=ORIENTED_EDGE('',*,*,#5814,.T.)!#7996=ORIENTED_EDGE('',*,*,#5808,.T.)!#7997=ORIENTED_EDGE('',*,*,#5833,.F.)!#7998=ORIENTED_EDGE('',*,*,#5834,.T.)!#7999=ORIENTED_EDGE('',*,*,#5835,.T.)!#8000=ORIENTED_EDGE('',*,*,#5836,.T.)!#8001=ORIENTED_EDGE('',*,*,#5837,.T.)!#8002=ORIENTED_EDGE('',*,*,#5838,.T.)!#8003=ORIENTED_EDGE('',*,*,#5839,.T.)!#8004=ORIENTED_EDGE('',*,*,#5840,.T.)!#8005=ORIENTED_EDGE('',*,*,#5841,.T.)!#8006=ORIENTED_EDGE('',*,*,#5842,.T.)!#8007=ORIENTED_EDGE('',*,*,#5843,.F.)!#8008=ORIENTED_EDGE('',*,*,#5844,.T.)!#8009=ORIENTED_EDGE('',*,*,#5845,.T.)!#8010=ORIENTED_EDGE('',*,*,#5846,.F.)!#8011=ORIENTED_EDGE('',*,*,#5847,.T.)!#8012=ORIENTED_EDGE('',*,*,#5848,.T.)!#8013=ORIENTED_EDGE('',*,*,#5849,.T.)!#8014=ORIENTED_EDGE('',*,*,#5850,.F.)!#8015=ORIENTED_EDGE('',*,*,#5851,.F.)!#8016=ORIENTED_EDGE('',*,*,#5852,.T.)!#8017=ORIENTED_EDGE('',*,*,#5842,.F.)!#8018=ORIENTED_EDGE('',*,*,#5852,.F.)!#8019=ORIENTED_EDGE('',*,*,#5853,.T.)!#8020=ORIENTED_EDGE('',*,*,#5854,.T.)!#8021=ORIENTED_EDGE('',*,*,#5855,.T.)!#8022=ORIENTED_EDGE('',*,*,#5856,.T.)!#8023=ORIENTED_EDGE('',*,*,#5857,.T.)!#8024=ORIENTED_EDGE('',*,*,#5854,.F.)!#8025=ORIENTED_EDGE('',*,*,#5858,.T.)!#8026=ORIENTED_EDGE('',*,*,#5859,.T.)!#8027=ORIENTED_EDGE('',*,*,#5860,.T.)!#8028=ORIENTED_EDGE('',*,*,#5859,.F.)!#8029=ORIENTED_EDGE('',*,*,#5861,.T.)!#8030=ORIENTED_EDGE('',*,*,#5862,.T.)!#8031=ORIENTED_EDGE('',*,*,#5863,.T.)!#8032=ORIENTED_EDGE('',*,*,#5856,.F.)!#8033=ORIENTED_EDGE('',*,*,#5864,.T.)!#8034=ORIENTED_EDGE('',*,*,#5865,.F.)!#8035=ORIENTED_EDGE('',*,*,#5866,.T.)!#8036=ORIENTED_EDGE('',*,*,#5862,.F.)!#8037=ORIENTED_EDGE('',*,*,#5867,.T.)!#8038=ORIENTED_EDGE('',*,*,#5868,.T.)!#8039=ORIENTED_EDGE('',*,*,#5869,.T.)!#8040=ORIENTED_EDGE('',*,*,#5868,.F.)!#8041=ORIENTED_EDGE('',*,*,#5870,.T.)!#8042=ORIENTED_EDGE('',*,*,#5865,.T.)!#8043=ORIENTED_EDGE('',*,*,#5869,.F.)!#8044=ORIENTED_EDGE('',*,*,#5871,.T.)!#8045=ORIENTED_EDGE('',*,*,#5848,.F.)!#8046=ORIENTED_EDGE('',*,*,#5872,.F.)!#8047=ORIENTED_EDGE('',*,*,#5864,.F.)!#8048=ORIENTED_EDGE('',*,*,#5873,.T.)!#8049=ORIENTED_EDGE('',*,*,#5844,.F.)!#8050=ORIENTED_EDGE('',*,*,#5874,.F.)!#8051=ORIENTED_EDGE('',*,*,#5849,.F.)!#8052=ORIENTED_EDGE('',*,*,#5871,.F.)!#8053=ORIENTED_EDGE('',*,*,#5855,.F.)!#8054=ORIENTED_EDGE('',*,*,#5875,.T.)!#8055=ORIENTED_EDGE('',*,*,#5837,.F.)!#8056=ORIENTED_EDGE('',*,*,#5876,.F.)!#8057=ORIENTED_EDGE('',*,*,#5845,.F.)!#8058=ORIENTED_EDGE('',*,*,#5873,.F.)!#8059=ORIENTED_EDGE('',*,*,#5853,.F.)!#8060=ORIENTED_EDGE('',*,*,#5863,.F.)!#8061=ORIENTED_EDGE('',*,*,#5870,.F.)!#8062=ORIENTED_EDGE('',*,*,#5867,.F.)!#8063=ORIENTED_EDGE('',*,*,#5861,.F.)!#8064=ORIENTED_EDGE('',*,*,#5858,.F.)!#8065=ORIENTED_EDGE('',*,*,#5866,.F.)!#8066=ORIENTED_EDGE('',*,*,#5872,.T.)!#8067=ORIENTED_EDGE('',*,*,#5847,.F.)!#8068=ORIENTED_EDGE('',*,*,#5877,.F.)!#8069=ORIENTED_EDGE('',*,*,#5835,.F.)!#8070=ORIENTED_EDGE('',*,*,#5878,.F.)!#8071=ORIENTED_EDGE('',*,*,#5860,.F.)!#8072=ORIENTED_EDGE('',*,*,#5878,.T.)!#8073=ORIENTED_EDGE('',*,*,#5834,.F.)!#8074=ORIENTED_EDGE('',*,*,#5879,.F.)!#8075=ORIENTED_EDGE('',*,*,#5839,.F.)!#8076=ORIENTED_EDGE('',*,*,#5880,.F.)!#8077=ORIENTED_EDGE('',*,*,#5857,.F.)!#8078=ORIENTED_EDGE('',*,*,#5880,.T.)!#8079=ORIENTED_EDGE('',*,*,#5838,.F.)!#8080=ORIENTED_EDGE('',*,*,#5875,.F.)!#8081=ORIENTED_EDGE('',*,*,#5876,.T.)!#8082=ORIENTED_EDGE('',*,*,#5881,.T.)!#8083=ORIENTED_EDGE('',*,*,#5882,.T.)!#8084=ORIENTED_EDGE('',*,*,#5883,.F.)!#8085=ORIENTED_EDGE('',*,*,#5879,.T.)!#8086=ORIENTED_EDGE('',*,*,#5884,.F.)!#8087=ORIENTED_EDGE('',*,*,#5885,.T.)!#8088=ORIENTED_EDGE('',*,*,#5886,.T.)!#8089=ORIENTED_EDGE('',*,*,#5877,.T.)!#8090=ORIENTED_EDGE('',*,*,#5887,.F.)!#8091=ORIENTED_EDGE('',*,*,#5888,.F.)!#8092=ORIENTED_EDGE('',*,*,#5874,.T.)!#8093=ORIENTED_EDGE('',*,*,#5889,.F.)!#8094=ORIENTED_EDGE('',*,*,#5890,.F.)!#8095=ORIENTED_EDGE('',*,*,#5891,.F.)!#8096=ORIENTED_EDGE('',*,*,#5892,.F.)!#8097=ORIENTED_EDGE('',*,*,#5893,.F.)!#8098=ORIENTED_EDGE('',*,*,#5894,.T.)!#8099=ORIENTED_EDGE('',*,*,#5895,.T.)!#8100=ORIENTED_EDGE('',*,*,#5896,.T.)!#8101=ORIENTED_EDGE('',*,*,#5897,.F.)!#8102=ORIENTED_EDGE('',*,*,#5898,.F.)!#8103=ORIENTED_EDGE('',*,*,#5899,.F.)!#8104=ORIENTED_EDGE('',*,*,#5900,.F.)!#8105=ORIENTED_EDGE('',*,*,#5841,.F.)!#8106=ORIENTED_EDGE('',*,*,#5901,.T.)!#8107=ORIENTED_EDGE('',*,*,#5902,.F.)!#8108=ORIENTED_EDGE('',*,*,#5901,.F.)!#8109=ORIENTED_EDGE('',*,*,#5840,.F.)!#8110=ORIENTED_EDGE('',*,*,#5886,.F.)!#8111=ORIENTED_EDGE('',*,*,#5903,.F.)!#8112=ORIENTED_EDGE('',*,*,#5904,.F.)!#8113=ORIENTED_EDGE('',*,*,#5905,.F.)!#8114=ORIENTED_EDGE('',*,*,#5881,.F.)!#8115=ORIENTED_EDGE('',*,*,#5836,.F.)!#8116=ORIENTED_EDGE('',*,*,#5900,.T.)!#8117=ORIENTED_EDGE('',*,*,#5904,.T.)!#8118=ORIENTED_EDGE('',*,*,#5899,.T.)!#8119=ORIENTED_EDGE('',*,*,#5902,.T.)!#8120=ORIENTED_EDGE('',*,*,#5906,.T.)!#8121=ORIENTED_EDGE('',*,*,#5907,.F.)!#8122=ORIENTED_EDGE('',*,*,#5908,.T.)!#8123=ORIENTED_EDGE('',*,*,#5909,.F.)!#8124=ORIENTED_EDGE('',*,*,#5910,.T.)!#8125=ORIENTED_EDGE('',*,*,#5903,.T.)!#8126=ORIENTED_EDGE('',*,*,#5885,.F.)!#8127=ORIENTED_EDGE('',*,*,#5911,.F.)!#8128=ORIENTED_EDGE('',*,*,#5906,.F.)!#8129=ORIENTED_EDGE('',*,*,#5907,.T.)!#8130=ORIENTED_EDGE('',*,*,#5911,.T.)!#8131=ORIENTED_EDGE('',*,*,#5884,.T.)!#8132=ORIENTED_EDGE('',*,*,#5833,.T.)!#8133=ORIENTED_EDGE('',*,*,#5888,.T.)!#8134=ORIENTED_EDGE('',*,*,#5912,.T.)!#8135=ORIENTED_EDGE('',*,*,#5893,.T.)!#8136=ORIENTED_EDGE('',*,*,#5913,.T.)!#8137=ORIENTED_EDGE('',*,*,#5905,.T.)!#8138=ORIENTED_EDGE('',*,*,#5910,.F.)!#8139=ORIENTED_EDGE('',*,*,#5914,.F.)!#8140=ORIENTED_EDGE('',*,*,#5882,.F.)!#8141=ORIENTED_EDGE('',*,*,#5887,.T.)!#8142=ORIENTED_EDGE('',*,*,#5846,.T.)!#8143=ORIENTED_EDGE('',*,*,#5890,.T.)!#8144=ORIENTED_EDGE('',*,*,#5915,.F.)!#8145=ORIENTED_EDGE('',*,*,#5896,.F.)!#8146=ORIENTED_EDGE('',*,*,#5916,.F.)!#8147=ORIENTED_EDGE('',*,*,#5894,.F.)!#8148=ORIENTED_EDGE('',*,*,#5912,.F.)!#8149=ORIENTED_EDGE('',*,*,#5892,.T.)!#8150=ORIENTED_EDGE('',*,*,#5917,.F.)!#8151=ORIENTED_EDGE('',*,*,#5898,.T.)!#8152=ORIENTED_EDGE('',*,*,#5918,.F.)!#8153=ORIENTED_EDGE('',*,*,#5908,.F.)!#8154=ORIENTED_EDGE('',*,*,#5913,.F.)!#8155=ORIENTED_EDGE('',*,*,#5909,.T.)!#8156=ORIENTED_EDGE('',*,*,#5918,.T.)!#8157=ORIENTED_EDGE('',*,*,#5897,.T.)!#8158=ORIENTED_EDGE('',*,*,#5915,.T.)!#8159=ORIENTED_EDGE('',*,*,#5889,.T.)!#8160=ORIENTED_EDGE('',*,*,#5843,.T.)!#8161=ORIENTED_EDGE('',*,*,#5883,.T.)!#8162=ORIENTED_EDGE('',*,*,#5914,.T.)!#8163=ORIENTED_EDGE('',*,*,#5919,.F.)!#8164=ORIENTED_EDGE('',*,*,#5920,.T.)!#8165=ORIENTED_EDGE('',*,*,#5921,.T.)!#8166=ORIENTED_EDGE('',*,*,#5922,.T.)!#8167=ORIENTED_EDGE('',*,*,#5923,.F.)!#8168=ORIENTED_EDGE('',*,*,#5924,.F.)!#8169=ORIENTED_EDGE('',*,*,#5925,.T.)!#8170=ORIENTED_EDGE('',*,*,#5920,.F.)!#8171=ORIENTED_EDGE('',*,*,#5925,.F.)!#8172=ORIENTED_EDGE('',*,*,#5926,.F.)!#8173=ORIENTED_EDGE('',*,*,#5927,.T.)!#8174=ORIENTED_EDGE('',*,*,#5921,.F.)!#8175=ORIENTED_EDGE('',*,*,#5927,.F.)!#8176=ORIENTED_EDGE('',*,*,#5928,.F.)!#8177=ORIENTED_EDGE('',*,*,#5929,.F.)!#8178=ORIENTED_EDGE('',*,*,#5922,.F.)!#8179=ORIENTED_EDGE('',*,*,#5850,.T.)!#8180=ORIENTED_EDGE('',*,*,#5851,.T.)!#8181=ORIENTED_EDGE('',*,*,#5930,.T.)!#8182=ORIENTED_EDGE('',*,*,#5928,.T.)!#8183=ORIENTED_EDGE('',*,*,#5926,.T.)!#8184=ORIENTED_EDGE('',*,*,#5924,.T.)!#8185=ORIENTED_EDGE('',*,*,#5916,.T.)!#8186=ORIENTED_EDGE('',*,*,#5931,.T.)!#8187=ORIENTED_EDGE('',*,*,#5932,.T.)!#8188=ORIENTED_EDGE('',*,*,#5933,.T.)!#8189=ORIENTED_EDGE('',*,*,#5934,.T.)!#8190=ORIENTED_EDGE('',*,*,#5935,.T.)!#8191=ORIENTED_EDGE('',*,*,#5936,.T.)!#8192=ORIENTED_EDGE('',*,*,#5937,.F.)!#8193=ORIENTED_EDGE('',*,*,#5917,.T.)!#8194=ORIENTED_EDGE('',*,*,#5938,.F.)!#8195=ORIENTED_EDGE('',*,*,#5939,.T.)!#8196=ORIENTED_EDGE('',*,*,#5940,.T.)!#8197=ORIENTED_EDGE('',*,*,#5923,.T.)!#8198=ORIENTED_EDGE('',*,*,#5941,.F.)!#8199=ORIENTED_EDGE('',*,*,#5942,.T.)!#8200=ORIENTED_EDGE('',*,*,#5943,.T.)!#8201=ORIENTED_EDGE('',*,*,#5944,.T.)!#8202=ORIENTED_EDGE('',*,*,#5945,.F.)!#8203=ORIENTED_EDGE('',*,*,#5946,.F.)!#8204=ORIENTED_EDGE('',*,*,#5947,.F.)!#8205=ORIENTED_EDGE('',*,*,#5948,.T.)!#8206=ORIENTED_EDGE('',*,*,#5949,.F.)!#8207=ORIENTED_EDGE('',*,*,#5950,.F.)!#8208=ORIENTED_EDGE('',*,*,#5951,.F.)!#8209=ORIENTED_EDGE('',*,*,#5952,.T.)!#8210=ORIENTED_EDGE('',*,*,#5953,.T.)!#8211=ORIENTED_EDGE('',*,*,#5954,.T.)!#8212=ORIENTED_EDGE('',*,*,#5955,.F.)!#8213=ORIENTED_EDGE('',*,*,#5951,.T.)!#8214=ORIENTED_EDGE('',*,*,#5956,.T.)!#8215=ORIENTED_EDGE('',*,*,#5945,.T.)!#8216=ORIENTED_EDGE('',*,*,#5957,.T.)!#8217=ORIENTED_EDGE('',*,*,#5958,.T.)!#8218=ORIENTED_EDGE('',*,*,#5959,.T.)!#8219=ORIENTED_EDGE('',*,*,#5822,.T.)!#8220=ORIENTED_EDGE('',*,*,#5825,.T.)!#8221=ORIENTED_EDGE('',*,*,#5827,.T.)!#8222=ORIENTED_EDGE('',*,*,#5829,.T.)!#8223=ORIENTED_EDGE('',*,*,#5831,.T.)!#8224=ORIENTED_EDGE('',*,*,#5832,.T.)!#8225=ORIENTED_EDGE('',*,*,#5933,.F.)!#8226=ORIENTED_EDGE('',*,*,#5947,.T.)!#8227=ORIENTED_EDGE('',*,*,#5960,.F.)!#8228=ORIENTED_EDGE('',*,*,#5961,.T.)!#8229=ORIENTED_EDGE('',*,*,#5962,.T.)!#8230=ORIENTED_EDGE('',*,*,#5963,.T.)!#8231=ORIENTED_EDGE('',*,*,#5964,.F.)!#8232=ORIENTED_EDGE('',*,*,#5965,.F.)!#8233=ORIENTED_EDGE('',*,*,#5961,.F.)!#8234=ORIENTED_EDGE('',*,*,#5965,.T.)!#8235=ORIENTED_EDGE('',*,*,#5966,.F.)!#8236=ORIENTED_EDGE('',*,*,#5934,.F.)!#8237=ORIENTED_EDGE('',*,*,#5962,.F.)!#8238=ORIENTED_EDGE('',*,*,#5949,.T.)!#8239=ORIENTED_EDGE('',*,*,#5935,.F.)!#8240=ORIENTED_EDGE('',*,*,#5966,.T.)!#8241=ORIENTED_EDGE('',*,*,#5964,.T.)!#8242=ORIENTED_EDGE('',*,*,#5967,.F.)!#8243=ORIENTED_EDGE('',*,*,#5967,.T.)!#8244=ORIENTED_EDGE('',*,*,#5963,.F.)!#8245=ORIENTED_EDGE('',*,*,#5960,.T.)!#8246=ORIENTED_EDGE('',*,*,#5946,.T.)!#8247=ORIENTED_EDGE('',*,*,#5956,.F.)!#8248=ORIENTED_EDGE('',*,*,#5968,.T.)!#8249=ORIENTED_EDGE('',*,*,#5952,.F.)!#8250=ORIENTED_EDGE('',*,*,#5950,.T.)!#8251=ORIENTED_EDGE('',*,*,#5942,.F.)!#8252=ORIENTED_EDGE('',*,*,#5954,.F.)!#8253=ORIENTED_EDGE('',*,*,#5969,.T.)!#8254=ORIENTED_EDGE('',*,*,#5958,.F.)!#8255=ORIENTED_EDGE('',*,*,#5969,.F.)!#8256=ORIENTED_EDGE('',*,*,#5953,.F.)!#8257=ORIENTED_EDGE('',*,*,#5968,.F.)!#8258=ORIENTED_EDGE('',*,*,#5959,.F.)!#8259=ORIENTED_EDGE('',*,*,#5929,.T.)!#8260=ORIENTED_EDGE('',*,*,#5970,.T.)!#8261=ORIENTED_EDGE('',*,*,#5971,.T.)!#8262=ORIENTED_EDGE('',*,*,#5972,.F.)!#8263=ORIENTED_EDGE('',*,*,#5973,.F.)!#8264=ORIENTED_EDGE('',*,*,#5974,.T.)!#8265=ORIENTED_EDGE('',*,*,#5975,.F.)!#8266=ORIENTED_EDGE('',*,*,#5976,.T.)!#8267=ORIENTED_EDGE('',*,*,#5977,.F.)!#8268=ORIENTED_EDGE('',*,*,#5978,.F.)!#8269=ORIENTED_EDGE('',*,*,#5979,.F.)!#8270=ORIENTED_EDGE('',*,*,#5980,.F.)!#8271=ORIENTED_EDGE('',*,*,#5981,.F.)!#8272=ORIENTED_EDGE('',*,*,#5971,.F.)!#8273=ORIENTED_EDGE('',*,*,#5982,.F.)!#8274=ORIENTED_EDGE('',*,*,#5983,.F.)!#8275=ORIENTED_EDGE('',*,*,#5974,.F.)!#8276=ORIENTED_EDGE('',*,*,#5984,.F.)!#8277=ORIENTED_EDGE('',*,*,#5976,.F.)!#8278=ORIENTED_EDGE('',*,*,#5985,.F.)!#8279=ORIENTED_EDGE('',*,*,#5986,.F.)!#8280=ORIENTED_EDGE('',*,*,#5944,.F.)!#8281=ORIENTED_EDGE('',*,*,#5987,.F.)!#8282=ORIENTED_EDGE('',*,*,#5988,.F.)!#8283=ORIENTED_EDGE('',*,*,#5989,.F.)!#8284=ORIENTED_EDGE('',*,*,#5986,.T.)!#8285=ORIENTED_EDGE('',*,*,#5990,.T.)!#8286=ORIENTED_EDGE('',*,*,#5982,.T.)!#8287=ORIENTED_EDGE('',*,*,#5970,.F.)!#8288=ORIENTED_EDGE('',*,*,#5930,.F.)!#8289=ORIENTED_EDGE('',*,*,#5943,.F.)!#8290=ORIENTED_EDGE('',*,*,#5957,.F.)!#8291=ORIENTED_EDGE('',*,*,#5985,.T.)!#8292=ORIENTED_EDGE('',*,*,#5975,.T.)!#8293=ORIENTED_EDGE('',*,*,#5983,.T.)!#8294=ORIENTED_EDGE('',*,*,#5990,.F.)!#8295=ORIENTED_EDGE('',*,*,#5991,.F.)!#8296=ORIENTED_EDGE('',*,*,#5988,.T.)!#8297=ORIENTED_EDGE('',*,*,#5992,.T.)!#8298=ORIENTED_EDGE('',*,*,#5980,.T.)!#8299=ORIENTED_EDGE('',*,*,#5993,.F.)!#8300=ORIENTED_EDGE('',*,*,#5987,.T.)!#8301=ORIENTED_EDGE('',*,*,#5955,.T.)!#8302=ORIENTED_EDGE('',*,*,#5941,.T.)!#8303=ORIENTED_EDGE('',*,*,#5919,.T.)!#8304=ORIENTED_EDGE('',*,*,#5972,.T.)!#8305=ORIENTED_EDGE('',*,*,#5981,.T.)!#8306=ORIENTED_EDGE('',*,*,#5992,.F.)!#8307=ORIENTED_EDGE('',*,*,#5994,.F.)!#8308=ORIENTED_EDGE('',*,*,#5995,.F.)!#8309=ORIENTED_EDGE('',*,*,#5996,.F.)!#8310=ORIENTED_EDGE('',*,*,#5997,.F.)!#8311=ORIENTED_EDGE('',*,*,#5936,.F.)!#8312=ORIENTED_EDGE('',*,*,#5948,.F.)!#8313=ORIENTED_EDGE('',*,*,#5932,.F.)!#8314=ORIENTED_EDGE('',*,*,#5998,.F.)!#8315=ORIENTED_EDGE('',*,*,#5999,.F.)!#8316=ORIENTED_EDGE('',*,*,#6000,.F.)!#8317=ORIENTED_EDGE('',*,*,#6001,.F.)!#8318=ORIENTED_EDGE('',*,*,#6002,.T.)!#8319=ORIENTED_EDGE('',*,*,#6003,.T.)!#8320=ORIENTED_EDGE('',*,*,#5996,.T.)!#8321=ORIENTED_EDGE('',*,*,#6004,.F.)!#8322=ORIENTED_EDGE('',*,*,#6005,.F.)!#8323=ORIENTED_EDGE('',*,*,#6006,.F.)!#8324=ORIENTED_EDGE('',*,*,#6007,.F.)!#8325=ORIENTED_EDGE('',*,*,#5939,.F.)!#8326=ORIENTED_EDGE('',*,*,#6008,.F.)!#8327=ORIENTED_EDGE('',*,*,#6002,.F.)!#8328=ORIENTED_EDGE('',*,*,#6009,.F.)!#8329=ORIENTED_EDGE('',*,*,#6010,.F.)!#8330=ORIENTED_EDGE('',*,*,#6000,.T.)!#8331=ORIENTED_EDGE('',*,*,#6011,.F.)!#8332=ORIENTED_EDGE('',*,*,#6005,.T.)!#8333=ORIENTED_EDGE('',*,*,#5999,.T.)!#8334=ORIENTED_EDGE('',*,*,#6012,.F.)!#8335=ORIENTED_EDGE('',*,*,#6006,.T.)!#8336=ORIENTED_EDGE('',*,*,#6011,.T.)!#8337=ORIENTED_EDGE('',*,*,#5997,.T.)!#8338=ORIENTED_EDGE('',*,*,#6003,.F.)!#8339=ORIENTED_EDGE('',*,*,#6008,.T.)!#8340=ORIENTED_EDGE('',*,*,#5938,.T.)!#8341=ORIENTED_EDGE('',*,*,#5891,.T.)!#8342=ORIENTED_EDGE('',*,*,#5937,.T.)!#8343=ORIENTED_EDGE('',*,*,#5998,.T.)!#8344=ORIENTED_EDGE('',*,*,#5931,.F.)!#8345=ORIENTED_EDGE('',*,*,#5895,.F.)!#8346=ORIENTED_EDGE('',*,*,#5940,.F.)!#8347=ORIENTED_EDGE('',*,*,#6007,.T.)!#8348=ORIENTED_EDGE('',*,*,#6012,.T.)!#8349=ORIENTED_EDGE('',*,*,#5989,.T.)!#8350=ORIENTED_EDGE('',*,*,#5991,.T.)!#8351=ORIENTED_EDGE('',*,*,#6013,.T.)!#8352=ORIENTED_EDGE('',*,*,#6014,.T.)!#8353=ORIENTED_EDGE('',*,*,#6015,.T.)!#8354=ORIENTED_EDGE('',*,*,#6013,.F.)!#8355=ORIENTED_EDGE('',*,*,#5993,.T.)!#8356=ORIENTED_EDGE('',*,*,#5979,.T.)!#8357=ORIENTED_EDGE('',*,*,#6016,.T.)!#8358=ORIENTED_EDGE('',*,*,#6009,.T.)!#8359=ORIENTED_EDGE('',*,*,#6001,.T.)!#8360=ORIENTED_EDGE('',*,*,#5995,.T.)!#8361=ORIENTED_EDGE('',*,*,#6017,.T.)!#8362=ORIENTED_EDGE('',*,*,#6018,.T.)!#8363=ORIENTED_EDGE('',*,*,#6019,.F.)!#8364=ORIENTED_EDGE('',*,*,#6020,.T.)!#8365=ORIENTED_EDGE('',*,*,#6021,.T.)!#8366=ORIENTED_EDGE('',*,*,#6022,.T.)!#8367=ORIENTED_EDGE('',*,*,#6023,.T.)!#8368=ORIENTED_EDGE('',*,*,#6020,.F.)!#8369=ORIENTED_EDGE('',*,*,#6024,.F.)!#8370=ORIENTED_EDGE('',*,*,#6025,.F.)!#8371=ORIENTED_EDGE('',*,*,#6026,.T.)!#8372=ORIENTED_EDGE('',*,*,#6027,.T.)!#8373=ORIENTED_EDGE('',*,*,#6028,.T.)!#8374=ORIENTED_EDGE('',*,*,#6029,.T.)!#8375=ORIENTED_EDGE('',*,*,#6030,.T.)!#8376=ORIENTED_EDGE('',*,*,#6022,.F.)!#8377=ORIENTED_EDGE('',*,*,#6021,.F.)!#8378=ORIENTED_EDGE('',*,*,#6023,.F.)!#8379=ORIENTED_EDGE('',*,*,#6030,.F.)!#8380=ORIENTED_EDGE('',*,*,#6031,.T.)!#8381=ORIENTED_EDGE('',*,*,#6032,.T.)!#8382=ORIENTED_EDGE('',*,*,#6029,.F.)!#8383=ORIENTED_EDGE('',*,*,#6032,.F.)!#8384=ORIENTED_EDGE('',*,*,#6033,.T.)!#8385=ORIENTED_EDGE('',*,*,#6034,.T.)!#8386=ORIENTED_EDGE('',*,*,#6033,.F.)!#8387=ORIENTED_EDGE('',*,*,#6031,.F.)!#8388=ORIENTED_EDGE('',*,*,#6035,.T.)!#8389=ORIENTED_EDGE('',*,*,#6036,.T.)!#8390=ORIENTED_EDGE('',*,*,#6037,.T.)!#8391=ORIENTED_EDGE('',*,*,#6038,.T.)!#8392=ORIENTED_EDGE('',*,*,#6039,.T.)!#8393=ORIENTED_EDGE('',*,*,#6040,.T.)!#8394=ORIENTED_EDGE('',*,*,#6035,.F.)!#8395=ORIENTED_EDGE('',*,*,#6041,.T.)!#8396=ORIENTED_EDGE('',*,*,#6039,.F.)!#8397=ORIENTED_EDGE('',*,*,#6042,.T.)!#8398=ORIENTED_EDGE('',*,*,#6043,.T.)!#8399=ORIENTED_EDGE('',*,*,#6040,.F.)!#8400=ORIENTED_EDGE('',*,*,#6041,.F.)!#8401=ORIENTED_EDGE('',*,*,#6036,.F.)!#8402=ORIENTED_EDGE('',*,*,#6043,.F.)!#8403=ORIENTED_EDGE('',*,*,#6037,.F.)!#8404=ORIENTED_EDGE('',*,*,#6044,.T.)!#8405=ORIENTED_EDGE('',*,*,#6024,.T.)!#8406=ORIENTED_EDGE('',*,*,#6019,.T.)!#8407=ORIENTED_EDGE('',*,*,#6045,.T.)!#8408=ORIENTED_EDGE('',*,*,#6025,.T.)!#8409=ORIENTED_EDGE('',*,*,#6044,.F.)!#8410=ORIENTED_EDGE('',*,*,#6046,.T.)!#8411=ORIENTED_EDGE('',*,*,#6047,.T.)!#8412=ORIENTED_EDGE('',*,*,#5563,.T.)!#8413=ORIENTED_EDGE('',*,*,#5564,.T.)!#8414=ORIENTED_EDGE('',*,*,#6046,.F.)!#8415=ORIENTED_EDGE('',*,*,#6047,.F.)!#8416=ORIENTED_EDGE('',*,*,#6048,.T.)!#8417=ORIENTED_EDGE('',*,*,#6049,.T.)!#8418=ORIENTED_EDGE('',*,*,#6050,.T.)!#8419=ORIENTED_EDGE('',*,*,#6048,.F.)!#8420=ORIENTED_EDGE('',*,*,#6050,.F.)!#8421=ORIENTED_EDGE('',*,*,#6049,.F.)!#8422=ORIENTED_EDGE('',*,*,#6051,.T.)!#8423=ORIENTED_EDGE('',*,*,#6026,.F.)!#8424=ORIENTED_EDGE('',*,*,#6045,.F.)!#8425=ORIENTED_EDGE('',*,*,#6018,.F.)!#8426=ORIENTED_EDGE('',*,*,#6052,.T.)!#8427=ORIENTED_EDGE('',*,*,#6027,.F.)!#8428=ORIENTED_EDGE('',*,*,#6051,.F.)!#8429=ORIENTED_EDGE('',*,*,#6028,.F.)!#8430=ORIENTED_EDGE('',*,*,#6052,.F.)!#8431=ORIENTED_EDGE('',*,*,#5593,.F.)!#8432=ORIENTED_EDGE('',*,*,#5594,.F.)!#8433=ORIENTED_EDGE('',*,*,#6053,.T.)!#8434=ORIENTED_EDGE('',*,*,#6054,.T.)!#8435=ORIENTED_EDGE('',*,*,#6055,.T.)!#8436=ORIENTED_EDGE('',*,*,#6056,.T.)!#8437=ORIENTED_EDGE('',*,*,#6053,.F.)!#8438=ORIENTED_EDGE('',*,*,#6057,.T.)!#8439=ORIENTED_EDGE('',*,*,#6058,.T.)!#8440=ORIENTED_EDGE('',*,*,#6055,.F.)!#8441=ORIENTED_EDGE('',*,*,#6054,.F.)!#8442=ORIENTED_EDGE('',*,*,#6056,.F.)!#8443=ORIENTED_EDGE('',*,*,#6058,.F.)!#8444=ORIENTED_EDGE('',*,*,#6059,.T.)!#8445=ORIENTED_EDGE('',*,*,#6060,.T.)!#8446=ORIENTED_EDGE('',*,*,#6061,.T.)!#8447=ORIENTED_EDGE('',*,*,#6057,.F.)!#8448=ORIENTED_EDGE('',*,*,#6059,.F.)!#8449=ORIENTED_EDGE('',*,*,#6062,.T.)!#8450=ORIENTED_EDGE('',*,*,#6063,.T.)!#8451=ORIENTED_EDGE('',*,*,#6060,.F.)!#8452=ORIENTED_EDGE('',*,*,#6061,.F.)!#8453=ORIENTED_EDGE('',*,*,#6063,.F.)!#8454=ORIENTED_EDGE('',*,*,#6064,.T.)!#8455=ORIENTED_EDGE('',*,*,#6065,.T.)!#8456=ORIENTED_EDGE('',*,*,#6066,.T.)!#8457=ORIENTED_EDGE('',*,*,#6067,.T.)!#8458=ORIENTED_EDGE('',*,*,#6068,.T.)!#8459=ORIENTED_EDGE('',*,*,#6064,.F.)!#8460=ORIENTED_EDGE('',*,*,#6062,.F.)!#8461=ORIENTED_EDGE('',*,*,#6065,.F.)!#8462=ORIENTED_EDGE('',*,*,#6068,.F.)!#8463=ORIENTED_EDGE('',*,*,#6069,.T.)!#8464=ORIENTED_EDGE('',*,*,#6066,.F.)!#8465=ORIENTED_EDGE('',*,*,#6069,.F.)!#8466=ORIENTED_EDGE('',*,*,#6067,.F.)!#8467=ORIENTED_EDGE('',*,*,#6070,.T.)!#8468=ORIENTED_EDGE('',*,*,#6071,.T.)!#8469=ORIENTED_EDGE('',*,*,#6072,.T.)!#8470=ORIENTED_EDGE('',*,*,#6070,.F.)!#8471=ORIENTED_EDGE('',*,*,#6073,.T.)!#8472=ORIENTED_EDGE('',*,*,#6074,.T.)!#8473=ORIENTED_EDGE('',*,*,#6072,.F.)!#8474=ORIENTED_EDGE('',*,*,#6071,.F.)!#8475=ORIENTED_EDGE('',*,*,#6073,.F.)!#8476=ORIENTED_EDGE('',*,*,#6074,.F.)!#8477=ORIENTED_EDGE('',*,*,#6075,.T.)!#8478=ORIENTED_EDGE('',*,*,#6076,.T.)!#8479=ORIENTED_EDGE('',*,*,#6077,.T.)!#8480=ORIENTED_EDGE('',*,*,#6078,.T.)!#8481=ORIENTED_EDGE('',*,*,#6079,.T.)!#8482=ORIENTED_EDGE('',*,*,#6080,.T.)!#8483=ORIENTED_EDGE('',*,*,#6081,.T.)!#8484=ORIENTED_EDGE('',*,*,#6082,.T.)!#8485=ORIENTED_EDGE('',*,*,#6083,.T.)!#8486=ORIENTED_EDGE('',*,*,#6084,.T.)!#8487=ORIENTED_EDGE('',*,*,#6075,.F.)!#8488=ORIENTED_EDGE('',*,*,#6085,.T.)!#8489=ORIENTED_EDGE('',*,*,#6086,.T.)!#8490=ORIENTED_EDGE('',*,*,#6087,.T.)!#8491=ORIENTED_EDGE('',*,*,#5977,.T.)!#8492=ORIENTED_EDGE('',*,*,#5984,.T.)!#8493=ORIENTED_EDGE('',*,*,#6017,.F.)!#8494=ORIENTED_EDGE('',*,*,#5994,.T.)!#8495=ORIENTED_EDGE('',*,*,#6010,.T.)!#8496=ORIENTED_EDGE('',*,*,#6004,.T.)!#8497=ORIENTED_EDGE('',*,*,#6016,.F.)!#8498=ORIENTED_EDGE('',*,*,#5978,.T.)!#8499=ORIENTED_EDGE('',*,*,#5973,.T.)!#8500=ORIENTED_EDGE('',*,*,#6087,.F.)!#8501=ORIENTED_EDGE('',*,*,#6088,.T.)!#8502=ORIENTED_EDGE('',*,*,#6034,.F.)!#8503=ORIENTED_EDGE('',*,*,#6089,.T.)!#8504=ORIENTED_EDGE('',*,*,#6090,.F.)!#8505=ORIENTED_EDGE('',*,*,#6091,.F.)!#8506=ORIENTED_EDGE('',*,*,#6092,.F.)!#8507=ORIENTED_EDGE('',*,*,#6089,.F.)!#8508=ORIENTED_EDGE('',*,*,#6085,.F.)!#8509=ORIENTED_EDGE('',*,*,#6088,.F.)!#8510=ORIENTED_EDGE('',*,*,#6086,.F.)!#8511=ORIENTED_EDGE('',*,*,#6093,.T.)!#8512=ORIENTED_EDGE('',*,*,#6090,.T.)!#8513=ORIENTED_EDGE('',*,*,#6092,.T.)!#8514=ORIENTED_EDGE('',*,*,#6091,.T.)!#8515=ORIENTED_EDGE('',*,*,#6093,.F.)!#8516=ORIENTED_EDGE('',*,*,#6038,.F.)!#8517=ORIENTED_EDGE('',*,*,#6042,.F.)!#8518=ORIENTED_EDGE('',*,*,#6094,.T.)!#8519=ORIENTED_EDGE('',*,*,#6095,.F.)!#8520=ORIENTED_EDGE('',*,*,#6096,.F.)!#8521=ORIENTED_EDGE('',*,*,#6097,.F.)!#8522=ORIENTED_EDGE('',*,*,#6094,.F.)!#8523=ORIENTED_EDGE('',*,*,#6098,.F.)!#8524=ORIENTED_EDGE('',*,*,#6099,.F.)!#8525=ORIENTED_EDGE('',*,*,#6100,.F.)!#8526=ORIENTED_EDGE('',*,*,#6101,.T.)!#8527=ORIENTED_EDGE('',*,*,#6095,.T.)!#8528=ORIENTED_EDGE('',*,*,#6097,.T.)!#8529=ORIENTED_EDGE('',*,*,#6096,.T.)!#8530=ORIENTED_EDGE('',*,*,#6101,.F.)!#8531=ORIENTED_EDGE('',*,*,#6098,.T.)!#8532=ORIENTED_EDGE('',*,*,#6100,.T.)!#8533=ORIENTED_EDGE('',*,*,#6102,.T.)!#8534=ORIENTED_EDGE('',*,*,#6103,.F.)!#8535=ORIENTED_EDGE('',*,*,#6104,.F.)!#8536=ORIENTED_EDGE('',*,*,#6105,.F.)!#8537=ORIENTED_EDGE('',*,*,#6102,.F.)!#8538=ORIENTED_EDGE('',*,*,#6099,.T.)!#8539=ORIENTED_EDGE('',*,*,#6106,.F.)!#8540=ORIENTED_EDGE('',*,*,#6107,.F.)!#8541=ORIENTED_EDGE('',*,*,#6108,.F.)!#8542=ORIENTED_EDGE('',*,*,#6109,.T.)!#8543=ORIENTED_EDGE('',*,*,#6103,.T.)!#8544=ORIENTED_EDGE('',*,*,#6105,.T.)!#8545=ORIENTED_EDGE('',*,*,#6104,.T.)!#8546=ORIENTED_EDGE('',*,*,#6109,.F.)!#8547=ORIENTED_EDGE('',*,*,#6110,.T.)!#8548=ORIENTED_EDGE('',*,*,#6111,.T.)!#8549=ORIENTED_EDGE('',*,*,#6112,.T.)!#8550=ORIENTED_EDGE('',*,*,#6113,.F.)!#8551=ORIENTED_EDGE('',*,*,#6114,.T.)!#8552=ORIENTED_EDGE('',*,*,#6115,.F.)!#8553=ORIENTED_EDGE('',*,*,#6116,.T.)!#8554=ORIENTED_EDGE('',*,*,#6117,.T.)!#8555=ORIENTED_EDGE('',*,*,#6118,.T.)!#8556=ORIENTED_EDGE('',*,*,#6119,.T.)!#8557=ORIENTED_EDGE('',*,*,#6120,.T.)!#8558=ORIENTED_EDGE('',*,*,#6111,.F.)!#8559=ORIENTED_EDGE('',*,*,#6121,.T.)!#8560=ORIENTED_EDGE('',*,*,#6117,.F.)!#8561=ORIENTED_EDGE('',*,*,#6122,.T.)!#8562=ORIENTED_EDGE('',*,*,#6123,.T.)!#8563=ORIENTED_EDGE('',*,*,#6124,.T.)!#8564=ORIENTED_EDGE('',*,*,#6113,.T.)!#8565=ORIENTED_EDGE('',*,*,#6125,.T.)!#8566=ORIENTED_EDGE('',*,*,#6078,.F.)!#8567=ORIENTED_EDGE('',*,*,#6126,.T.)!#8568=ORIENTED_EDGE('',*,*,#6082,.F.)!#8569=ORIENTED_EDGE('',*,*,#6127,.T.)!#8570=ORIENTED_EDGE('',*,*,#6115,.T.)!#8571=ORIENTED_EDGE('',*,*,#6128,.T.)!#8572=ORIENTED_EDGE('',*,*,#6129,.T.)!#8573=ORIENTED_EDGE('',*,*,#6130,.T.)!#8574=ORIENTED_EDGE('',*,*,#6107,.T.)!#8575=ORIENTED_EDGE('',*,*,#6106,.T.)!#8576=ORIENTED_EDGE('',*,*,#6108,.T.)!#8577=ORIENTED_EDGE('',*,*,#6130,.F.)!#8578=ORIENTED_EDGE('',*,*,#6131,.T.)!#8579=ORIENTED_EDGE('',*,*,#6132,.T.)!#8580=ORIENTED_EDGE('',*,*,#6123,.F.)!#8581=ORIENTED_EDGE('',*,*,#6133,.T.)!#8582=ORIENTED_EDGE('',*,*,#6134,.T.)!#8583=ORIENTED_EDGE('',*,*,#6135,.T.)!#8584=ORIENTED_EDGE('',*,*,#6119,.F.)!#8585=ORIENTED_EDGE('',*,*,#6136,.T.)!#8586=ORIENTED_EDGE('',*,*,#6137,.F.)!#8587=ORIENTED_EDGE('',*,*,#6138,.F.)!#8588=ORIENTED_EDGE('',*,*,#6139,.F.)!#8589=ORIENTED_EDGE('',*,*,#6140,.T.)!#8590=ORIENTED_EDGE('',*,*,#6141,.T.)!#8591=ORIENTED_EDGE('',*,*,#6142,.T.)!#8592=ORIENTED_EDGE('',*,*,#6143,.F.)!#8593=ORIENTED_EDGE('',*,*,#6144,.T.)!#8594=ORIENTED_EDGE('',*,*,#6145,.T.)!#8595=ORIENTED_EDGE('',*,*,#6146,.T.)!#8596=ORIENTED_EDGE('',*,*,#6147,.T.)!#8597=ORIENTED_EDGE('',*,*,#6148,.T.)!#8598=ORIENTED_EDGE('',*,*,#6141,.F.)!#8599=ORIENTED_EDGE('',*,*,#6149,.T.)!#8600=ORIENTED_EDGE('',*,*,#6150,.T.)!#8601=ORIENTED_EDGE('',*,*,#6151,.T.)!#8602=ORIENTED_EDGE('',*,*,#6152,.F.)!#8603=ORIENTED_EDGE('',*,*,#6153,.T.)!#8604=ORIENTED_EDGE('',*,*,#6143,.T.)!#8605=ORIENTED_EDGE('',*,*,#6081,.F.)!#8606=ORIENTED_EDGE('',*,*,#6154,.F.)!#8607=ORIENTED_EDGE('',*,*,#6149,.F.)!#8608=ORIENTED_EDGE('',*,*,#6140,.F.)!#8609=ORIENTED_EDGE('',*,*,#6155,.F.)!#8610=ORIENTED_EDGE('',*,*,#6144,.F.)!#8611=ORIENTED_EDGE('',*,*,#6153,.F.)!#8612=ORIENTED_EDGE('',*,*,#6156,.F.)!#8613=ORIENTED_EDGE('',*,*,#6133,.F.)!#8614=ORIENTED_EDGE('',*,*,#6122,.F.)!#8615=ORIENTED_EDGE('',*,*,#6116,.F.)!#8616=ORIENTED_EDGE('',*,*,#6127,.F.)!#8617=ORIENTED_EDGE('',*,*,#6079,.F.)!#8618=ORIENTED_EDGE('',*,*,#6125,.F.)!#8619=ORIENTED_EDGE('',*,*,#6112,.F.)!#8620=ORIENTED_EDGE('',*,*,#6120,.F.)!#8621=ORIENTED_EDGE('',*,*,#6135,.F.)!#8622=ORIENTED_EDGE('',*,*,#6157,.F.)!#8623=ORIENTED_EDGE('',*,*,#6151,.F.)!#8624=ORIENTED_EDGE('',*,*,#6142,.F.)!#8625=ORIENTED_EDGE('',*,*,#6158,.F.)!#8626=ORIENTED_EDGE('',*,*,#6159,.F.)!#8627=ORIENTED_EDGE('',*,*,#6160,.F.)!#8628=ORIENTED_EDGE('',*,*,#6136,.F.)!#8629=ORIENTED_EDGE('',*,*,#6148,.F.)!#8630=ORIENTED_EDGE('',*,*,#6161,.F.)!#8631=ORIENTED_EDGE('',*,*,#6080,.F.)!#8632=ORIENTED_EDGE('',*,*,#6161,.T.)!#8633=ORIENTED_EDGE('',*,*,#6150,.F.)!#8634=ORIENTED_EDGE('',*,*,#6154,.T.)!#8635=ORIENTED_EDGE('',*,*,#6134,.F.)!#8636=ORIENTED_EDGE('',*,*,#6156,.T.)!#8637=ORIENTED_EDGE('',*,*,#6152,.T.)!#8638=ORIENTED_EDGE('',*,*,#6157,.T.)!#8639=ORIENTED_EDGE('',*,*,#6162,.T.)!#8640=ORIENTED_EDGE('',*,*,#6163,.T.)!#8641=ORIENTED_EDGE('',*,*,#6164,.T.)!#8642=ORIENTED_EDGE('',*,*,#6165,.T.)!#8643=ORIENTED_EDGE('',*,*,#6166,.T.)!#8644=ORIENTED_EDGE('',*,*,#6167,.T.)!#8645=ORIENTED_EDGE('',*,*,#6168,.F.)!#8646=ORIENTED_EDGE('',*,*,#6169,.T.)!#8647=ORIENTED_EDGE('',*,*,#6168,.T.)!#8648=ORIENTED_EDGE('',*,*,#6170,.T.)!#8649=ORIENTED_EDGE('',*,*,#6171,.F.)!#8650=ORIENTED_EDGE('',*,*,#6172,.T.)!#8651=ORIENTED_EDGE('',*,*,#6173,.T.)!#8652=ORIENTED_EDGE('',*,*,#6174,.T.)!#8653=ORIENTED_EDGE('',*,*,#6175,.F.)!#8654=ORIENTED_EDGE('',*,*,#6176,.T.)!#8655=ORIENTED_EDGE('',*,*,#6175,.T.)!#8656=ORIENTED_EDGE('',*,*,#6177,.T.)!#8657=ORIENTED_EDGE('',*,*,#6178,.F.)!#8658=ORIENTED_EDGE('',*,*,#6179,.F.)!#8659=ORIENTED_EDGE('',*,*,#6180,.F.)!#8660=ORIENTED_EDGE('',*,*,#6181,.F.)!#8661=ORIENTED_EDGE('',*,*,#6077,.F.)!#8662=ORIENTED_EDGE('',*,*,#6182,.F.)!#8663=ORIENTED_EDGE('',*,*,#6172,.F.)!#8664=ORIENTED_EDGE('',*,*,#6176,.F.)!#8665=ORIENTED_EDGE('',*,*,#6183,.F.)!#8666=ORIENTED_EDGE('',*,*,#6162,.F.)!#8667=ORIENTED_EDGE('',*,*,#6169,.F.)!#8668=ORIENTED_EDGE('',*,*,#6184,.F.)!#8669=ORIENTED_EDGE('',*,*,#6128,.F.)!#8670=ORIENTED_EDGE('',*,*,#6118,.F.)!#8671=ORIENTED_EDGE('',*,*,#6110,.F.)!#8672=ORIENTED_EDGE('',*,*,#6124,.F.)!#8673=ORIENTED_EDGE('',*,*,#6084,.F.)!#8674=ORIENTED_EDGE('',*,*,#6185,.T.)!#8675=ORIENTED_EDGE('',*,*,#6173,.F.)!#8676=ORIENTED_EDGE('',*,*,#6182,.T.)!#8677=ORIENTED_EDGE('',*,*,#6076,.F.)!#8678=ORIENTED_EDGE('',*,*,#6129,.F.)!#8679=ORIENTED_EDGE('',*,*,#6184,.T.)!#8680=ORIENTED_EDGE('',*,*,#6171,.T.)!#8681=ORIENTED_EDGE('',*,*,#6186,.T.)!#8682=ORIENTED_EDGE('',*,*,#6131,.F.)!#8683=ORIENTED_EDGE('',*,*,#6083,.F.)!#8684=ORIENTED_EDGE('',*,*,#6126,.F.)!#8685=ORIENTED_EDGE('',*,*,#6114,.F.)!#8686=ORIENTED_EDGE('',*,*,#6121,.F.)!#8687=ORIENTED_EDGE('',*,*,#6132,.F.)!#8688=ORIENTED_EDGE('',*,*,#6186,.F.)!#8689=ORIENTED_EDGE('',*,*,#6170,.F.)!#8690=ORIENTED_EDGE('',*,*,#6167,.F.)!#8691=ORIENTED_EDGE('',*,*,#6187,.F.)!#8692=ORIENTED_EDGE('',*,*,#6177,.F.)!#8693=ORIENTED_EDGE('',*,*,#6174,.F.)!#8694=ORIENTED_EDGE('',*,*,#6185,.F.)!#8695=ORIENTED_EDGE('',*,*,#6188,.T.)!#8696=ORIENTED_EDGE('',*,*,#6178,.T.)!#8697=ORIENTED_EDGE('',*,*,#6187,.T.)!#8698=ORIENTED_EDGE('',*,*,#6166,.F.)!#8699=ORIENTED_EDGE('',*,*,#6189,.F.)!#8700=ORIENTED_EDGE('',*,*,#6190,.F.)!#8701=ORIENTED_EDGE('',*,*,#6191,.F.)!#8702=ORIENTED_EDGE('',*,*,#6192,.F.)!#8703=ORIENTED_EDGE('',*,*,#6193,.F.)!#8704=ORIENTED_EDGE('',*,*,#6194,.F.)!#8705=ORIENTED_EDGE('',*,*,#6189,.T.)!#8706=ORIENTED_EDGE('',*,*,#6195,.F.)!#8707=ORIENTED_EDGE('',*,*,#6196,.T.)!#8708=ORIENTED_EDGE('',*,*,#6197,.F.)!#8709=ORIENTED_EDGE('',*,*,#6198,.T.)!#8710=ORIENTED_EDGE('',*,*,#6199,.T.)!#8711=ORIENTED_EDGE('',*,*,#6200,.F.)!#8712=ORIENTED_EDGE('',*,*,#6191,.T.)!#8713=ORIENTED_EDGE('',*,*,#6201,.F.)!#8714=ORIENTED_EDGE('',*,*,#6202,.T.)!#8715=ORIENTED_EDGE('',*,*,#6203,.T.)!#8716=ORIENTED_EDGE('',*,*,#6204,.F.)!#8717=ORIENTED_EDGE('',*,*,#6205,.T.)!#8718=ORIENTED_EDGE('',*,*,#6206,.T.)!#8719=ORIENTED_EDGE('',*,*,#6196,.F.)!#8720=ORIENTED_EDGE('',*,*,#6207,.T.)!#8721=ORIENTED_EDGE('',*,*,#6205,.F.)!#8722=ORIENTED_EDGE('',*,*,#6208,.F.)!#8723=ORIENTED_EDGE('',*,*,#6209,.F.)!#8724=ORIENTED_EDGE('',*,*,#6197,.T.)!#8725=ORIENTED_EDGE('',*,*,#6206,.F.)!#8726=ORIENTED_EDGE('',*,*,#6207,.F.)!#8727=ORIENTED_EDGE('',*,*,#6210,.F.)!#8728=ORIENTED_EDGE('',*,*,#6211,.F.)!#8729=ORIENTED_EDGE('',*,*,#6212,.T.)!#8730=ORIENTED_EDGE('',*,*,#6198,.F.)!#8731=ORIENTED_EDGE('',*,*,#6210,.T.)!#8732=ORIENTED_EDGE('',*,*,#6209,.T.)!#8733=ORIENTED_EDGE('',*,*,#6200,.T.)!#8734=ORIENTED_EDGE('',*,*,#6213,.T.)!#8735=ORIENTED_EDGE('',*,*,#6181,.T.)!#8736=ORIENTED_EDGE('',*,*,#6195,.T.)!#8737=ORIENTED_EDGE('',*,*,#6192,.T.)!#8738=ORIENTED_EDGE('',*,*,#6214,.T.)!#8739=ORIENTED_EDGE('',*,*,#6215,.T.)!#8740=ORIENTED_EDGE('',*,*,#6216,.T.)!#8741=ORIENTED_EDGE('',*,*,#6217,.T.)!#8742=ORIENTED_EDGE('',*,*,#6218,.T.)!#8743=ORIENTED_EDGE('',*,*,#6219,.T.)!#8744=ORIENTED_EDGE('',*,*,#6201,.T.)!#8745=ORIENTED_EDGE('',*,*,#6190,.T.)!#8746=ORIENTED_EDGE('',*,*,#6194,.T.)!#8747=ORIENTED_EDGE('',*,*,#6163,.F.)!#8748=ORIENTED_EDGE('',*,*,#6220,.T.)!#8749=ORIENTED_EDGE('',*,*,#6183,.T.)!#8750=ORIENTED_EDGE('',*,*,#6213,.F.)!#8751=ORIENTED_EDGE('',*,*,#6199,.F.)!#8752=ORIENTED_EDGE('',*,*,#6212,.F.)!#8753=ORIENTED_EDGE('',*,*,#6159,.T.)!#8754=ORIENTED_EDGE('',*,*,#6203,.F.)!#8755=ORIENTED_EDGE('',*,*,#6202,.F.)!#8756=ORIENTED_EDGE('',*,*,#6220,.F.)!#8757=ORIENTED_EDGE('',*,*,#6221,.T.)!#8758=ORIENTED_EDGE('',*,*,#6164,.F.)!#8759=ORIENTED_EDGE('',*,*,#6193,.T.)!#8760=ORIENTED_EDGE('',*,*,#6180,.T.)!#8761=ORIENTED_EDGE('',*,*,#6222,.T.)!#8762=ORIENTED_EDGE('',*,*,#6145,.F.)!#8763=ORIENTED_EDGE('',*,*,#6155,.T.)!#8764=ORIENTED_EDGE('',*,*,#6139,.T.)!#8765=ORIENTED_EDGE('',*,*,#6223,.T.)!#8766=ORIENTED_EDGE('',*,*,#6137,.T.)!#8767=ORIENTED_EDGE('',*,*,#6160,.T.)!#8768=ORIENTED_EDGE('',*,*,#6211,.T.)!#8769=ORIENTED_EDGE('',*,*,#6208,.T.)!#8770=ORIENTED_EDGE('',*,*,#6204,.T.)!#8771=ORIENTED_EDGE('',*,*,#6158,.T.)!#8772=ORIENTED_EDGE('',*,*,#6147,.F.)!#8773=ORIENTED_EDGE('',*,*,#6179,.T.)!#8774=ORIENTED_EDGE('',*,*,#6224,.T.)!#8775=ORIENTED_EDGE('',*,*,#6225,.T.)!#8776=ORIENTED_EDGE('',*,*,#6226,.T.)!#8777=ORIENTED_EDGE('',*,*,#6227,.F.)!#8778=ORIENTED_EDGE('',*,*,#6224,.F.)!#8779=ORIENTED_EDGE('',*,*,#6188,.F.)!#8780=ORIENTED_EDGE('',*,*,#6228,.F.)!#8781=ORIENTED_EDGE('',*,*,#6229,.T.)!#8782=ORIENTED_EDGE('',*,*,#6230,.T.)!#8783=ORIENTED_EDGE('',*,*,#6231,.F.)!#8784=ORIENTED_EDGE('',*,*,#6225,.F.)!#8785=ORIENTED_EDGE('',*,*,#6227,.T.)!#8786=ORIENTED_EDGE('',*,*,#6232,.F.)!#8787=ORIENTED_EDGE('',*,*,#6221,.F.)!#8788=ORIENTED_EDGE('',*,*,#6226,.F.)!#8789=ORIENTED_EDGE('',*,*,#6231,.T.)!#8790=ORIENTED_EDGE('',*,*,#6233,.F.)!#8791=ORIENTED_EDGE('',*,*,#6165,.F.)!#8792=ORIENTED_EDGE('',*,*,#6233,.T.)!#8793=ORIENTED_EDGE('',*,*,#6232,.T.)!#8794=ORIENTED_EDGE('',*,*,#6228,.T.)!#8795=ORIENTED_EDGE('',*,*,#6234,.T.)!#8796=ORIENTED_EDGE('',*,*,#6235,.T.)!#8797=ORIENTED_EDGE('',*,*,#6236,.T.)!#8798=ORIENTED_EDGE('',*,*,#6237,.T.)!#8799=ORIENTED_EDGE('',*,*,#6238,.T.)!#8800=ORIENTED_EDGE('',*,*,#6239,.T.)!#8801=ORIENTED_EDGE('',*,*,#6240,.T.)!#8802=ORIENTED_EDGE('',*,*,#6222,.F.)!#8803=ORIENTED_EDGE('',*,*,#6241,.T.)!#8804=ORIENTED_EDGE('',*,*,#6235,.F.)!#8805=ORIENTED_EDGE('',*,*,#6242,.F.)!#8806=ORIENTED_EDGE('',*,*,#6243,.F.)!#8807=ORIENTED_EDGE('',*,*,#6244,.T.)!#8808=ORIENTED_EDGE('',*,*,#6245,.T.)!#8809=ORIENTED_EDGE('',*,*,#6246,.T.)!#8810=ORIENTED_EDGE('',*,*,#6237,.F.)!#8811=ORIENTED_EDGE('',*,*,#6247,.F.)!#8812=ORIENTED_EDGE('',*,*,#6223,.F.)!#8813=ORIENTED_EDGE('',*,*,#6248,.F.)!#8814=ORIENTED_EDGE('',*,*,#6249,.F.)!#8815=ORIENTED_EDGE('',*,*,#6250,.T.)!#8816=ORIENTED_EDGE('',*,*,#6251,.F.)!#8817=ORIENTED_EDGE('',*,*,#6239,.F.)!#8818=ORIENTED_EDGE('',*,*,#6252,.F.)!#8819=ORIENTED_EDGE('',*,*,#6253,.T.)!#8820=ORIENTED_EDGE('',*,*,#6254,.T.)!#8821=ORIENTED_EDGE('',*,*,#6255,.T.)!#8822=ORIENTED_EDGE('',*,*,#6249,.T.)!#8823=ORIENTED_EDGE('',*,*,#6256,.T.)!#8824=ORIENTED_EDGE('',*,*,#6243,.T.)!#8825=ORIENTED_EDGE('',*,*,#6257,.T.)!#8826=ORIENTED_EDGE('',*,*,#6258,.F.)!#8827=ORIENTED_EDGE('',*,*,#6254,.F.)!#8828=ORIENTED_EDGE('',*,*,#6259,.F.)!#8829=ORIENTED_EDGE('',*,*,#6260,.T.)!#8830=ORIENTED_EDGE('',*,*,#6261,.T.)!#8831=ORIENTED_EDGE('',*,*,#6262,.T.)!#8832=ORIENTED_EDGE('',*,*,#6259,.T.)!#8833=ORIENTED_EDGE('',*,*,#6253,.F.)!#8834=ORIENTED_EDGE('',*,*,#6242,.T.)!#8835=ORIENTED_EDGE('',*,*,#6234,.F.)!#8836=ORIENTED_EDGE('',*,*,#6251,.T.)!#8837=ORIENTED_EDGE('',*,*,#6260,.F.)!#8838=ORIENTED_EDGE('',*,*,#6250,.F.)!#8839=ORIENTED_EDGE('',*,*,#6263,.F.)!#8840=ORIENTED_EDGE('',*,*,#6264,.F.)!#8841=ORIENTED_EDGE('',*,*,#6265,.T.)!#8842=ORIENTED_EDGE('',*,*,#6262,.F.)!#8843=ORIENTED_EDGE('',*,*,#6266,.F.)!#8844=ORIENTED_EDGE('',*,*,#6267,.F.)!#8845=ORIENTED_EDGE('',*,*,#6268,.F.)!#8846=ORIENTED_EDGE('',*,*,#6257,.F.)!#8847=ORIENTED_EDGE('',*,*,#6261,.F.)!#8848=ORIENTED_EDGE('',*,*,#6265,.F.)!#8849=ORIENTED_EDGE('',*,*,#6269,.F.)!#8850=ORIENTED_EDGE('',*,*,#6266,.T.)!#8851=ORIENTED_EDGE('',*,*,#6138,.T.)!#8852=ORIENTED_EDGE('',*,*,#6247,.T.)!#8853=ORIENTED_EDGE('',*,*,#6236,.F.)!#8854=ORIENTED_EDGE('',*,*,#6241,.F.)!#8855=ORIENTED_EDGE('',*,*,#6146,.F.)!#8856=ORIENTED_EDGE('',*,*,#6240,.F.)!#8857=ORIENTED_EDGE('',*,*,#6256,.F.)!#8858=ORIENTED_EDGE('',*,*,#6248,.T.)!#8859=ORIENTED_EDGE('',*,*,#6270,.T.)!#8860=ORIENTED_EDGE('',*,*,#6271,.T.)!#8861=ORIENTED_EDGE('',*,*,#6272,.T.)!#8862=ORIENTED_EDGE('',*,*,#6263,.T.)!#8863=ORIENTED_EDGE('',*,*,#6252,.T.)!#8864=ORIENTED_EDGE('',*,*,#6238,.F.)!#8865=ORIENTED_EDGE('',*,*,#6246,.F.)!#8866=ORIENTED_EDGE('',*,*,#6273,.T.)!#8867=ORIENTED_EDGE('',*,*,#6244,.F.)!#8868=ORIENTED_EDGE('',*,*,#6255,.F.)!#8869=ORIENTED_EDGE('',*,*,#6258,.T.)!#8870=ORIENTED_EDGE('',*,*,#6268,.T.)!#8871=ORIENTED_EDGE('',*,*,#6274,.T.)!#8872=ORIENTED_EDGE('',*,*,#6275,.T.)!#8873=ORIENTED_EDGE('',*,*,#6276,.F.)!#8874=ORIENTED_EDGE('',*,*,#6277,.T.)!#8875=ORIENTED_EDGE('',*,*,#6270,.F.)!#8876=ORIENTED_EDGE('',*,*,#6278,.F.)!#8877=ORIENTED_EDGE('',*,*,#6279,.F.)!#8878=ORIENTED_EDGE('',*,*,#6280,.F.)!#8879=ORIENTED_EDGE('',*,*,#6281,.T.)!#8880=ORIENTED_EDGE('',*,*,#6271,.F.)!#8881=ORIENTED_EDGE('',*,*,#6277,.F.)!#8882=ORIENTED_EDGE('',*,*,#6282,.F.)!#8883=ORIENTED_EDGE('',*,*,#6283,.T.)!#8884=ORIENTED_EDGE('',*,*,#6284,.F.)!#8885=ORIENTED_EDGE('',*,*,#6272,.F.)!#8886=ORIENTED_EDGE('',*,*,#6281,.F.)!#8887=ORIENTED_EDGE('',*,*,#6230,.F.)!#8888=ORIENTED_EDGE('',*,*,#6285,.T.)!#8889=ORIENTED_EDGE('',*,*,#6286,.T.)!#8890=ORIENTED_EDGE('',*,*,#6287,.F.)!#8891=ORIENTED_EDGE('',*,*,#6288,.F.)!#8892=ORIENTED_EDGE('',*,*,#6289,.F.)!#8893=ORIENTED_EDGE('',*,*,#6290,.F.)!#8894=ORIENTED_EDGE('',*,*,#6291,.F.)!#8895=ORIENTED_EDGE('',*,*,#6292,.F.)!#8896=ORIENTED_EDGE('',*,*,#6293,.F.)!#8897=ORIENTED_EDGE('',*,*,#6279,.T.)!#8898=ORIENTED_EDGE('',*,*,#6294,.F.)!#8899=ORIENTED_EDGE('',*,*,#6273,.F.)!#8900=ORIENTED_EDGE('',*,*,#6295,.F.)!#8901=ORIENTED_EDGE('',*,*,#6296,.F.)!#8902=ORIENTED_EDGE('',*,*,#6295,.T.)!#8903=ORIENTED_EDGE('',*,*,#6275,.F.)!#8904=ORIENTED_EDGE('',*,*,#6297,.F.)!#8905=ORIENTED_EDGE('',*,*,#6298,.F.)!#8906=ORIENTED_EDGE('',*,*,#6297,.T.)!#8907=ORIENTED_EDGE('',*,*,#6274,.F.)!#8908=ORIENTED_EDGE('',*,*,#6299,.F.)!#8909=ORIENTED_EDGE('',*,*,#6283,.F.)!#8910=ORIENTED_EDGE('',*,*,#6298,.T.)!#8911=ORIENTED_EDGE('',*,*,#6300,.F.)!#8912=ORIENTED_EDGE('',*,*,#6289,.T.)!#8913=ORIENTED_EDGE('',*,*,#6301,.T.)!#8914=ORIENTED_EDGE('',*,*,#6282,.T.)!#8915=ORIENTED_EDGE('',*,*,#6302,.F.)!#8916=ORIENTED_EDGE('',*,*,#6290,.T.)!#8917=ORIENTED_EDGE('',*,*,#6300,.T.)!#8918=ORIENTED_EDGE('',*,*,#6288,.T.)!#8919=ORIENTED_EDGE('',*,*,#6303,.F.)!#8920=ORIENTED_EDGE('',*,*,#6296,.T.)!#8921=ORIENTED_EDGE('',*,*,#6301,.F.)!#8922=ORIENTED_EDGE('',*,*,#6280,.T.)!#8923=ORIENTED_EDGE('',*,*,#6304,.F.)!#8924=ORIENTED_EDGE('',*,*,#6291,.T.)!#8925=ORIENTED_EDGE('',*,*,#6302,.T.)!#8926=ORIENTED_EDGE('',*,*,#6276,.T.)!#8927=ORIENTED_EDGE('',*,*,#6305,.F.)!#8928=ORIENTED_EDGE('',*,*,#6292,.T.)!#8929=ORIENTED_EDGE('',*,*,#6304,.T.)!#8930=ORIENTED_EDGE('',*,*,#6287,.T.)!#8931=ORIENTED_EDGE('',*,*,#6305,.T.)!#8932=ORIENTED_EDGE('',*,*,#6293,.T.)!#8933=ORIENTED_EDGE('',*,*,#6303,.T.)!#8934=ORIENTED_EDGE('',*,*,#6245,.F.)!#8935=ORIENTED_EDGE('',*,*,#6294,.T.)!#8936=ORIENTED_EDGE('',*,*,#6278,.T.)!#8937=ORIENTED_EDGE('',*,*,#6264,.T.)!#8938=ORIENTED_EDGE('',*,*,#6284,.T.)!#8939=ORIENTED_EDGE('',*,*,#6299,.T.)!#8940=ORIENTED_EDGE('',*,*,#6267,.T.)!#8941=ORIENTED_EDGE('',*,*,#6269,.T.)!#8942=ORIENTED_EDGE('',*,*,#6306,.T.)!#8943=ORIENTED_EDGE('',*,*,#6229,.F.)!#8944=ORIENTED_EDGE('',*,*,#6286,.F.)!#8945=ORIENTED_EDGE('',*,*,#6307,.T.)!#8946=ORIENTED_EDGE('',*,*,#6306,.F.)!#8947=ORIENTED_EDGE('',*,*,#6307,.F.)!#8948=ORIENTED_EDGE('',*,*,#6285,.F.)!#8949=ORIENTED_EDGE('',*,*,#6308,.T.)!#8950=ORIENTED_EDGE('',*,*,#6309,.T.)!#8951=ORIENTED_EDGE('',*,*,#6310,.T.)!#8952=ORIENTED_EDGE('',*,*,#6311,.T.)!#8953=ORIENTED_EDGE('',*,*,#6312,.T.)!#8954=ORIENTED_EDGE('',*,*,#6313,.T.)!#8955=ORIENTED_EDGE('',*,*,#6314,.T.)!#8956=ORIENTED_EDGE('',*,*,#6315,.F.)!#8957=ORIENTED_EDGE('',*,*,#6219,.F.)!#8958=ORIENTED_EDGE('',*,*,#6316,.T.)!#8959=ORIENTED_EDGE('',*,*,#6317,.T.)!#8960=ORIENTED_EDGE('',*,*,#6318,.T.)!#8961=ORIENTED_EDGE('',*,*,#6316,.F.)!#8962=ORIENTED_EDGE('',*,*,#6218,.F.)!#8963=ORIENTED_EDGE('',*,*,#6319,.T.)!#8964=ORIENTED_EDGE('',*,*,#6320,.T.)!#8965=ORIENTED_EDGE('',*,*,#6321,.T.)!#8966=ORIENTED_EDGE('',*,*,#6319,.F.)!#8967=ORIENTED_EDGE('',*,*,#6217,.F.)!#8968=ORIENTED_EDGE('',*,*,#6322,.T.)!#8969=ORIENTED_EDGE('',*,*,#6323,.T.)!#8970=ORIENTED_EDGE('',*,*,#6324,.T.)!#8971=ORIENTED_EDGE('',*,*,#6325,.T.)!#8972=ORIENTED_EDGE('',*,*,#6322,.F.)!#8973=ORIENTED_EDGE('',*,*,#6216,.F.)!#8974=ORIENTED_EDGE('',*,*,#6326,.T.)!#8975=ORIENTED_EDGE('',*,*,#6327,.T.)!#8976=ORIENTED_EDGE('',*,*,#6328,.T.)!#8977=ORIENTED_EDGE('',*,*,#6326,.F.)!#8978=ORIENTED_EDGE('',*,*,#6215,.F.)!#8979=ORIENTED_EDGE('',*,*,#6329,.T.)!#8980=ORIENTED_EDGE('',*,*,#6330,.T.)!#8981=ORIENTED_EDGE('',*,*,#6331,.T.)!#8982=ORIENTED_EDGE('',*,*,#6329,.F.)!#8983=ORIENTED_EDGE('',*,*,#6214,.F.)!#8984=ORIENTED_EDGE('',*,*,#6315,.T.)!#8985=ORIENTED_EDGE('',*,*,#6330,.F.)!#8986=ORIENTED_EDGE('',*,*,#6314,.F.)!#8987=ORIENTED_EDGE('',*,*,#6311,.F.)!#8988=ORIENTED_EDGE('',*,*,#6331,.F.)!#8989=ORIENTED_EDGE('',*,*,#6310,.F.)!#8990=ORIENTED_EDGE('',*,*,#6327,.F.)!#8991=ORIENTED_EDGE('',*,*,#6317,.F.)!#8992=ORIENTED_EDGE('',*,*,#6318,.F.)!#8993=ORIENTED_EDGE('',*,*,#6312,.F.)!#8994=ORIENTED_EDGE('',*,*,#6328,.F.)!#8995=ORIENTED_EDGE('',*,*,#6309,.F.)!#8996=ORIENTED_EDGE('',*,*,#6324,.F.)!#8997=ORIENTED_EDGE('',*,*,#6320,.F.)!#8998=ORIENTED_EDGE('',*,*,#6321,.F.)!#8999=ORIENTED_EDGE('',*,*,#6313,.F.)!#9000=ORIENTED_EDGE('',*,*,#6325,.F.)!#9001=ORIENTED_EDGE('',*,*,#6308,.F.)!#9002=ORIENTED_EDGE('',*,*,#6323,.F.)!#9003=ORIENTED_EDGE('',*,*,#6332,.T.)!#9004=ORIENTED_EDGE('',*,*,#5760,.T.)!#9005=ORIENTED_EDGE('',*,*,#6333,.F.)!#9006=ORIENTED_EDGE('',*,*,#6334,.F.)!#9007=ORIENTED_EDGE('',*,*,#6335,.T.)!#9008=ORIENTED_EDGE('',*,*,#6336,.T.)!#9009=ORIENTED_EDGE('',*,*,#6337,.T.)!#9010=ORIENTED_EDGE('',*,*,#6335,.F.)!#9011=ORIENTED_EDGE('',*,*,#6338,.T.)!#9012=ORIENTED_EDGE('',*,*,#6339,.T.)!#9013=ORIENTED_EDGE('',*,*,#6337,.F.)!#9014=ORIENTED_EDGE('',*,*,#6336,.F.)!#9015=ORIENTED_EDGE('',*,*,#6338,.F.)!#9016=ORIENTED_EDGE('',*,*,#6339,.F.)!#9017=ORIENTED_EDGE('',*,*,#6340,.T.)!#9018=ORIENTED_EDGE('',*,*,#6341,.T.)!#9019=ORIENTED_EDGE('',*,*,#6342,.T.)!#9020=ORIENTED_EDGE('',*,*,#6343,.T.)!#9021=ORIENTED_EDGE('',*,*,#6344,.T.)!#9022=ORIENTED_EDGE('',*,*,#6345,.T.)!#9023=ORIENTED_EDGE('',*,*,#6346,.T.)!#9024=ORIENTED_EDGE('',*,*,#6344,.F.)!#9025=ORIENTED_EDGE('',*,*,#6347,.T.)!#9026=ORIENTED_EDGE('',*,*,#6348,.T.)!#9027=ORIENTED_EDGE('',*,*,#6349,.T.)!#9028=ORIENTED_EDGE('',*,*,#6340,.F.)!#9029=ORIENTED_EDGE('',*,*,#6350,.T.)!#9030=ORIENTED_EDGE('',*,*,#6351,.T.)!#9031=ORIENTED_EDGE('',*,*,#6352,.T.)!#9032=ORIENTED_EDGE('',*,*,#6353,.T.)!#9033=ORIENTED_EDGE('',*,*,#6354,.T.)!#9034=ORIENTED_EDGE('',*,*,#6355,.T.)!#9035=ORIENTED_EDGE('',*,*,#6356,.T.)!#9036=ORIENTED_EDGE('',*,*,#6357,.T.)!#9037=ORIENTED_EDGE('',*,*,#6346,.F.)!#9038=ORIENTED_EDGE('',*,*,#6345,.F.)!#9039=ORIENTED_EDGE('',*,*,#5551,.T.)!#9040=ORIENTED_EDGE('',*,*,#6358,.F.)!#9041=ORIENTED_EDGE('',*,*,#6359,.F.)!#9042=ORIENTED_EDGE('',*,*,#6360,.F.)!#9043=ORIENTED_EDGE('',*,*,#6361,.F.)!#9044=ORIENTED_EDGE('',*,*,#5545,.F.)!#9045=ORIENTED_EDGE('',*,*,#6362,.F.)!#9046=ORIENTED_EDGE('',*,*,#6363,.T.)!#9047=ORIENTED_EDGE('',*,*,#6364,.F.)!#9048=ORIENTED_EDGE('',*,*,#6365,.T.)!#9049=ORIENTED_EDGE('',*,*,#6366,.F.)!#9050=ORIENTED_EDGE('',*,*,#6367,.F.)!#9051=ORIENTED_EDGE('',*,*,#6368,.F.)!#9052=ORIENTED_EDGE('',*,*,#6369,.T.)!#9053=ORIENTED_EDGE('',*,*,#6370,.F.)!#9054=ORIENTED_EDGE('',*,*,#6365,.F.)!#9055=ORIENTED_EDGE('',*,*,#6354,.F.)!#9056=ORIENTED_EDGE('',*,*,#6371,.F.)!#9057=ORIENTED_EDGE('',*,*,#6372,.T.)!#9058=ORIENTED_EDGE('',*,*,#6373,.F.)!#9059=ORIENTED_EDGE('',*,*,#6374,.F.)!#9060=ORIENTED_EDGE('',*,*,#6375,.T.)!#9061=ORIENTED_EDGE('',*,*,#6376,.F.)!#9062=ORIENTED_EDGE('',*,*,#6372,.F.)!#9063=ORIENTED_EDGE('',*,*,#6377,.F.)!#9064=ORIENTED_EDGE('',*,*,#6378,.T.)!#9065=ORIENTED_EDGE('',*,*,#6379,.F.)!#9066=ORIENTED_EDGE('',*,*,#6375,.F.)!#9067=ORIENTED_EDGE('',*,*,#6380,.F.)!#9068=ORIENTED_EDGE('',*,*,#6381,.F.)!#9069=ORIENTED_EDGE('',*,*,#6378,.F.)!#9070=ORIENTED_EDGE('',*,*,#6382,.F.)!#9071=ORIENTED_EDGE('',*,*,#6383,.F.)!#9072=ORIENTED_EDGE('',*,*,#6384,.T.)!#9073=ORIENTED_EDGE('',*,*,#6385,.T.)!#9074=ORIENTED_EDGE('',*,*,#6386,.T.)!#9075=ORIENTED_EDGE('',*,*,#6387,.T.)!#9076=ORIENTED_EDGE('',*,*,#6388,.T.)!#9077=ORIENTED_EDGE('',*,*,#6389,.T.)!#9078=ORIENTED_EDGE('',*,*,#6390,.T.)!#9079=ORIENTED_EDGE('',*,*,#5122,.T.)!#9080=ORIENTED_EDGE('',*,*,#5125,.T.)!#9081=ORIENTED_EDGE('',*,*,#5127,.T.)!#9082=ORIENTED_EDGE('',*,*,#5129,.T.)!#9083=ORIENTED_EDGE('',*,*,#5131,.T.)!#9084=ORIENTED_EDGE('',*,*,#5132,.T.)!#9085=ORIENTED_EDGE('',*,*,#6391,.T.)!#9086=ORIENTED_EDGE('',*,*,#6392,.F.)!#9087=ORIENTED_EDGE('',*,*,#6384,.F.)!#9088=ORIENTED_EDGE('',*,*,#6393,.F.)!#9089=ORIENTED_EDGE('',*,*,#5450,.T.)!#9090=ORIENTED_EDGE('',*,*,#6394,.F.)!#9091=ORIENTED_EDGE('',*,*,#6395,.T.)!#9092=ORIENTED_EDGE('',*,*,#6396,.F.)!#9093=ORIENTED_EDGE('',*,*,#5464,.F.)!#9094=ORIENTED_EDGE('',*,*,#6397,.F.)!#9095=ORIENTED_EDGE('',*,*,#6398,.T.)!#9096=ORIENTED_EDGE('',*,*,#6399,.F.)!#9097=ORIENTED_EDGE('',*,*,#6395,.F.)!#9098=ORIENTED_EDGE('',*,*,#6400,.F.)!#9099=ORIENTED_EDGE('',*,*,#6401,.T.)!#9100=ORIENTED_EDGE('',*,*,#6402,.F.)!#9101=ORIENTED_EDGE('',*,*,#6398,.F.)!#9102=ORIENTED_EDGE('',*,*,#6403,.F.)!#9103=ORIENTED_EDGE('',*,*,#6404,.F.)!#9104=ORIENTED_EDGE('',*,*,#6405,.F.)!#9105=ORIENTED_EDGE('',*,*,#6406,.F.)!#9106=ORIENTED_EDGE('',*,*,#6407,.F.)!#9107=ORIENTED_EDGE('',*,*,#6408,.T.)!#9108=ORIENTED_EDGE('',*,*,#6409,.F.)!#9109=ORIENTED_EDGE('',*,*,#6410,.F.)!#9110=ORIENTED_EDGE('',*,*,#6411,.F.)!#9111=ORIENTED_EDGE('',*,*,#6412,.T.)!#9112=ORIENTED_EDGE('',*,*,#6413,.F.)!#9113=ORIENTED_EDGE('',*,*,#6408,.F.)!#9114=ORIENTED_EDGE('',*,*,#6414,.F.)!#9115=ORIENTED_EDGE('',*,*,#6359,.T.)!#9116=ORIENTED_EDGE('',*,*,#6415,.F.)!#9117=ORIENTED_EDGE('',*,*,#6412,.F.)!#9118=ORIENTED_EDGE('',*,*,#6352,.F.)!#9119=ORIENTED_EDGE('',*,*,#6416,.F.)!#9120=ORIENTED_EDGE('',*,*,#6369,.F.)!#9121=ORIENTED_EDGE('',*,*,#6417,.F.)!#9122=ORIENTED_EDGE('',*,*,#6418,.T.)!#9123=ORIENTED_EDGE('',*,*,#6419,.T.)!#9124=ORIENTED_EDGE('',*,*,#6341,.F.)!#9125=ORIENTED_EDGE('',*,*,#6349,.F.)!#9126=ORIENTED_EDGE('',*,*,#6420,.T.)!#9127=ORIENTED_EDGE('',*,*,#6421,.T.)!#9128=ORIENTED_EDGE('',*,*,#6422,.F.)!#9129=ORIENTED_EDGE('',*,*,#6423,.T.)!#9130=ORIENTED_EDGE('',*,*,#6424,.F.)!#9131=ORIENTED_EDGE('',*,*,#6425,.F.)!#9132=ORIENTED_EDGE('',*,*,#6426,.F.)!#9133=ORIENTED_EDGE('',*,*,#6427,.T.)!#9134=ORIENTED_EDGE('',*,*,#6428,.F.)!#9135=ORIENTED_EDGE('',*,*,#6429,.T.)!#9136=ORIENTED_EDGE('',*,*,#6420,.F.)!#9137=ORIENTED_EDGE('',*,*,#6348,.F.)!#9138=ORIENTED_EDGE('',*,*,#6430,.F.)!#9139=ORIENTED_EDGE('',*,*,#6421,.F.)!#9140=ORIENTED_EDGE('',*,*,#6429,.F.)!#9141=ORIENTED_EDGE('',*,*,#6431,.F.)!#9142=ORIENTED_EDGE('',*,*,#6432,.F.)!#9143=ORIENTED_EDGE('',*,*,#6433,.F.)!#9144=ORIENTED_EDGE('',*,*,#6434,.F.)!#9145=ORIENTED_EDGE('',*,*,#6435,.F.)!#9146=ORIENTED_EDGE('',*,*,#6423,.F.)!#9147=ORIENTED_EDGE('',*,*,#6436,.F.)!#9148=ORIENTED_EDGE('',*,*,#6437,.T.)!#9149=ORIENTED_EDGE('',*,*,#6438,.F.)!#9150=ORIENTED_EDGE('',*,*,#6439,.F.)!#9151=ORIENTED_EDGE('',*,*,#6440,.F.)!#9152=ORIENTED_EDGE('',*,*,#6441,.F.)!#9153=ORIENTED_EDGE('',*,*,#6442,.F.)!#9154=ORIENTED_EDGE('',*,*,#6441,.T.)!#9155=ORIENTED_EDGE('',*,*,#6443,.F.)!#9156=ORIENTED_EDGE('',*,*,#6444,.F.)!#9157=ORIENTED_EDGE('',*,*,#6445,.F.)!#9158=ORIENTED_EDGE('',*,*,#6418,.F.)!#9159=ORIENTED_EDGE('',*,*,#6446,.F.)!#9160=ORIENTED_EDGE('',*,*,#6447,.T.)!#9161=ORIENTED_EDGE('',*,*,#6448,.F.)!#9162=ORIENTED_EDGE('',*,*,#6449,.F.)!#9163=ORIENTED_EDGE('',*,*,#6342,.F.)!#9164=ORIENTED_EDGE('',*,*,#6419,.F.)!#9165=ORIENTED_EDGE('',*,*,#6445,.T.)!#9166=ORIENTED_EDGE('',*,*,#6450,.F.)!#9167=ORIENTED_EDGE('',*,*,#6451,.F.)!#9168=ORIENTED_EDGE('',*,*,#6452,.F.)!#9169=ORIENTED_EDGE('',*,*,#6453,.T.)!#9170=ORIENTED_EDGE('',*,*,#6454,.T.)!#9171=ORIENTED_EDGE('',*,*,#6453,.F.)!#9172=ORIENTED_EDGE('',*,*,#6454,.F.)!#9173=ORIENTED_EDGE('',*,*,#6455,.T.)!#9174=ORIENTED_EDGE('',*,*,#6456,.T.)!#9175=ORIENTED_EDGE('',*,*,#6457,.T.)!#9176=ORIENTED_EDGE('',*,*,#6455,.F.)!#9177=ORIENTED_EDGE('',*,*,#6457,.F.)!#9178=ORIENTED_EDGE('',*,*,#6456,.F.)!#9179=ORIENTED_EDGE('',*,*,#6458,.T.)!#9180=ORIENTED_EDGE('',*,*,#6459,.T.)!#9181=ORIENTED_EDGE('',*,*,#6458,.F.)!#9182=ORIENTED_EDGE('',*,*,#6459,.F.)!#9183=ORIENTED_EDGE('',*,*,#6460,.T.)!#9184=ORIENTED_EDGE('',*,*,#6461,.T.)!#9185=ORIENTED_EDGE('',*,*,#6462,.T.)!#9186=ORIENTED_EDGE('',*,*,#6463,.T.)!#9187=ORIENTED_EDGE('',*,*,#6460,.F.)!#9188=ORIENTED_EDGE('',*,*,#6350,.F.)!#9189=ORIENTED_EDGE('',*,*,#6351,.F.)!#9190=ORIENTED_EDGE('',*,*,#6464,.T.)!#9191=ORIENTED_EDGE('',*,*,#6452,.T.)!#9192=ORIENTED_EDGE('',*,*,#6451,.T.)!#9193=ORIENTED_EDGE('',*,*,#6464,.F.)!#9194=ORIENTED_EDGE('',*,*,#6465,.F.)!#9195=ORIENTED_EDGE('',*,*,#6461,.F.)!#9196=ORIENTED_EDGE('',*,*,#6463,.F.)!#9197=ORIENTED_EDGE('',*,*,#6466,.F.)!#9198=ORIENTED_EDGE('',*,*,#6467,.T.)!#9199=ORIENTED_EDGE('',*,*,#6466,.T.)!#9200=ORIENTED_EDGE('',*,*,#6462,.F.)!#9201=ORIENTED_EDGE('',*,*,#6465,.T.)!#9202=ORIENTED_EDGE('',*,*,#6467,.F.)!#9203=ORIENTED_EDGE('',*,*,#5536,.F.)!#9204=ORIENTED_EDGE('',*,*,#5537,.F.)!#9205=ORIENTED_EDGE('',*,*,#6468,.T.)!#9206=ORIENTED_EDGE('',*,*,#6469,.F.)!#9207=ORIENTED_EDGE('',*,*,#6468,.F.)!#9208=ORIENTED_EDGE('',*,*,#5446,.F.)!#9209=ORIENTED_EDGE('',*,*,#5447,.F.)!#9210=ORIENTED_EDGE('',*,*,#6470,.T.)!#9211=ORIENTED_EDGE('',*,*,#6391,.F.)!#9212=ORIENTED_EDGE('',*,*,#6470,.F.)!#9213=ORIENTED_EDGE('',*,*,#6356,.F.)!#9214=ORIENTED_EDGE('',*,*,#6357,.F.)!#9215=ORIENTED_EDGE('',*,*,#6471,.T.)!#9216=ORIENTED_EDGE('',*,*,#6472,.F.)!#9217=ORIENTED_EDGE('',*,*,#6473,.F.)!#9218=ORIENTED_EDGE('',*,*,#6474,.F.)!#9219=ORIENTED_EDGE('',*,*,#6471,.F.)!#9220=ORIENTED_EDGE('',*,*,#6475,.F.)!#9221=ORIENTED_EDGE('',*,*,#6476,.F.)!#9222=ORIENTED_EDGE('',*,*,#6472,.T.)!#9223=ORIENTED_EDGE('',*,*,#6474,.T.)!#9224=ORIENTED_EDGE('',*,*,#6477,.T.)!#9225=ORIENTED_EDGE('',*,*,#6476,.T.)!#9226=ORIENTED_EDGE('',*,*,#6475,.T.)!#9227=ORIENTED_EDGE('',*,*,#6477,.F.)!#9228=ORIENTED_EDGE('',*,*,#6473,.T.)!#9229=ORIENTED_EDGE('',*,*,#5544,.T.)!#9230=ORIENTED_EDGE('',*,*,#6478,.T.)!#9231=ORIENTED_EDGE('',*,*,#6479,.T.)!#9232=ORIENTED_EDGE('',*,*,#6362,.T.)!#9233=ORIENTED_EDGE('',*,*,#6480,.F.)!#9234=ORIENTED_EDGE('',*,*,#6363,.F.)!#9235=ORIENTED_EDGE('',*,*,#6479,.F.)!#9236=ORIENTED_EDGE('',*,*,#6481,.F.)!#9237=ORIENTED_EDGE('',*,*,#6367,.T.)!#9238=ORIENTED_EDGE('',*,*,#6482,.F.)!#9239=ORIENTED_EDGE('',*,*,#6483,.T.)!#9240=ORIENTED_EDGE('',*,*,#6484,.T.)!#9241=ORIENTED_EDGE('',*,*,#6485,.T.)!#9242=ORIENTED_EDGE('',*,*,#6486,.T.)!#9243=ORIENTED_EDGE('',*,*,#6487,.T.)!#9244=ORIENTED_EDGE('',*,*,#6488,.T.)!#9245=ORIENTED_EDGE('',*,*,#5152,.T.)!#9246=ORIENTED_EDGE('',*,*,#5155,.T.)!#9247=ORIENTED_EDGE('',*,*,#5157,.T.)!#9248=ORIENTED_EDGE('',*,*,#5159,.T.)!#9249=ORIENTED_EDGE('',*,*,#5161,.T.)!#9250=ORIENTED_EDGE('',*,*,#5162,.T.)!#9251=ORIENTED_EDGE('',*,*,#6469,.T.)!#9252=ORIENTED_EDGE('',*,*,#6480,.T.)!#9253=ORIENTED_EDGE('',*,*,#6489,.T.)!#9254=ORIENTED_EDGE('',*,*,#5546,.T.)!#9255=ORIENTED_EDGE('',*,*,#6361,.T.)!#9256=ORIENTED_EDGE('',*,*,#6383,.T.)!#9257=ORIENTED_EDGE('',*,*,#6490,.T.)!#9258=ORIENTED_EDGE('',*,*,#5451,.T.)!#9259=ORIENTED_EDGE('',*,*,#6393,.T.)!#9260=ORIENTED_EDGE('',*,*,#5449,.T.)!#9261=ORIENTED_EDGE('',*,*,#6491,.T.)!#9262=ORIENTED_EDGE('',*,*,#6380,.T.)!#9263=ORIENTED_EDGE('',*,*,#6392,.T.)!#9264=ORIENTED_EDGE('',*,*,#6492,.F.)!#9265=ORIENTED_EDGE('',*,*,#6493,.T.)!#9266=ORIENTED_EDGE('',*,*,#6400,.T.)!#9267=ORIENTED_EDGE('',*,*,#6397,.T.)!#9268=ORIENTED_EDGE('',*,*,#6394,.T.)!#9269=ORIENTED_EDGE('',*,*,#5463,.T.)!#9270=ORIENTED_EDGE('',*,*,#5452,.T.)!#9271=ORIENTED_EDGE('',*,*,#6490,.F.)!#9272=ORIENTED_EDGE('',*,*,#6382,.T.)!#9273=ORIENTED_EDGE('',*,*,#6377,.T.)!#9274=ORIENTED_EDGE('',*,*,#6374,.T.)!#9275=ORIENTED_EDGE('',*,*,#6371,.T.)!#9276=ORIENTED_EDGE('',*,*,#6353,.F.)!#9277=ORIENTED_EDGE('',*,*,#6417,.T.)!#9278=ORIENTED_EDGE('',*,*,#6368,.T.)!#9279=ORIENTED_EDGE('',*,*,#6364,.T.)!#9280=ORIENTED_EDGE('',*,*,#6481,.T.)!#9281=ORIENTED_EDGE('',*,*,#6478,.F.)!#9282=ORIENTED_EDGE('',*,*,#5543,.T.)!#9283=ORIENTED_EDGE('',*,*,#6360,.T.)!#9284=ORIENTED_EDGE('',*,*,#6414,.T.)!#9285=ORIENTED_EDGE('',*,*,#6411,.T.)!#9286=ORIENTED_EDGE('',*,*,#6407,.T.)!#9287=ORIENTED_EDGE('',*,*,#6494,.T.)!#9288=ORIENTED_EDGE('',*,*,#6495,.F.)!#9289=ORIENTED_EDGE('',*,*,#6404,.T.)!#9290=ORIENTED_EDGE('',*,*,#6496,.F.)!#9291=ORIENTED_EDGE('',*,*,#6494,.F.)!#9292=ORIENTED_EDGE('',*,*,#6410,.T.)!#9293=ORIENTED_EDGE('',*,*,#6497,.F.)!#9294=ORIENTED_EDGE('',*,*,#6498,.T.)!#9295=ORIENTED_EDGE('',*,*,#6405,.T.)!#9296=ORIENTED_EDGE('',*,*,#6495,.T.)!#9297=ORIENTED_EDGE('',*,*,#6496,.T.)!#9298=ORIENTED_EDGE('',*,*,#6499,.F.)!#9299=ORIENTED_EDGE('',*,*,#6406,.T.)!#9300=ORIENTED_EDGE('',*,*,#6498,.F.)!#9301=ORIENTED_EDGE('',*,*,#6497,.T.)!#9302=ORIENTED_EDGE('',*,*,#6409,.T.)!#9303=ORIENTED_EDGE('',*,*,#6413,.T.)!#9304=ORIENTED_EDGE('',*,*,#6415,.T.)!#9305=ORIENTED_EDGE('',*,*,#6358,.T.)!#9306=ORIENTED_EDGE('',*,*,#5538,.T.)!#9307=ORIENTED_EDGE('',*,*,#6489,.F.)!#9308=ORIENTED_EDGE('',*,*,#6482,.T.)!#9309=ORIENTED_EDGE('',*,*,#6366,.T.)!#9310=ORIENTED_EDGE('',*,*,#6370,.T.)!#9311=ORIENTED_EDGE('',*,*,#6416,.T.)!#9312=ORIENTED_EDGE('',*,*,#6355,.F.)!#9313=ORIENTED_EDGE('',*,*,#6373,.T.)!#9314=ORIENTED_EDGE('',*,*,#6376,.T.)!#9315=ORIENTED_EDGE('',*,*,#6379,.T.)!#9316=ORIENTED_EDGE('',*,*,#6381,.T.)!#9317=ORIENTED_EDGE('',*,*,#6491,.F.)!#9318=ORIENTED_EDGE('',*,*,#5448,.T.)!#9319=ORIENTED_EDGE('',*,*,#5465,.T.)!#9320=ORIENTED_EDGE('',*,*,#6396,.T.)!#9321=ORIENTED_EDGE('',*,*,#6399,.T.)!#9322=ORIENTED_EDGE('',*,*,#6402,.T.)!#9323=ORIENTED_EDGE('',*,*,#6500,.T.)!#9324=ORIENTED_EDGE('',*,*,#6501,.F.)!#9325=ORIENTED_EDGE('',*,*,#6500,.F.)!#9326=ORIENTED_EDGE('',*,*,#6401,.F.)!#9327=ORIENTED_EDGE('',*,*,#6493,.F.)!#9328=ORIENTED_EDGE('',*,*,#6499,.T.)!#9329=ORIENTED_EDGE('',*,*,#6501,.T.)!#9330=ORIENTED_EDGE('',*,*,#6492,.T.)!#9331=ORIENTED_EDGE('',*,*,#6403,.T.)!#9332=ORIENTED_EDGE('',*,*,#6502,.F.)!#9333=ORIENTED_EDGE('',*,*,#6503,.F.)!#9334=ORIENTED_EDGE('',*,*,#6504,.F.)!#9335=ORIENTED_EDGE('',*,*,#6505,.F.)!#9336=ORIENTED_EDGE('',*,*,#6506,.F.)!#9337=ORIENTED_EDGE('',*,*,#6507,.F.)!#9338=ORIENTED_EDGE('',*,*,#6508,.F.)!#9339=ORIENTED_EDGE('',*,*,#6509,.F.)!#9340=ORIENTED_EDGE('',*,*,#5199,.T.)!#9341=ORIENTED_EDGE('',*,*,#5230,.T.)!#9342=ORIENTED_EDGE('',*,*,#5227,.T.)!#9343=ORIENTED_EDGE('',*,*,#5224,.T.)!#9344=ORIENTED_EDGE('',*,*,#5221,.T.)!#9345=ORIENTED_EDGE('',*,*,#5218,.T.)!#9346=ORIENTED_EDGE('',*,*,#5215,.T.)!#9347=ORIENTED_EDGE('',*,*,#5212,.T.)!#9348=ORIENTED_EDGE('',*,*,#5209,.T.)!#9349=ORIENTED_EDGE('',*,*,#5206,.T.)!#9350=ORIENTED_EDGE('',*,*,#5194,.T.)!#9351=ORIENTED_EDGE('',*,*,#5191,.T.)!#9352=ORIENTED_EDGE('',*,*,#5188,.T.)!#9353=ORIENTED_EDGE('',*,*,#5185,.T.)!#9354=ORIENTED_EDGE('',*,*,#5182,.T.)!#9355=ORIENTED_EDGE('',*,*,#5179,.T.)!#9356=ORIENTED_EDGE('',*,*,#5176,.T.)!#9357=ORIENTED_EDGE('',*,*,#5173,.T.)!#9358=ORIENTED_EDGE('',*,*,#5170,.T.)!#9359=ORIENTED_EDGE('',*,*,#5167,.T.)!#9360=ORIENTED_EDGE('',*,*,#5163,.T.)!#9361=ORIENTED_EDGE('',*,*,#5197,.T.)!#9362=ORIENTED_EDGE('',*,*,#5203,.T.)!#9363=ORIENTED_EDGE('',*,*,#5234,.T.)!#9364=ORIENTED_EDGE('',*,*,#5237,.T.)!#9365=ORIENTED_EDGE('',*,*,#5238,.T.)!#9366=ORIENTED_EDGE('',*,*,#5293,.T.)!#9367=ORIENTED_EDGE('',*,*,#5290,.T.)!#9368=ORIENTED_EDGE('',*,*,#5287,.T.)!#9369=ORIENTED_EDGE('',*,*,#5284,.T.)!#9370=ORIENTED_EDGE('',*,*,#5281,.T.)!#9371=ORIENTED_EDGE('',*,*,#5278,.T.)!#9372=ORIENTED_EDGE('',*,*,#5275,.T.)!#9373=ORIENTED_EDGE('',*,*,#5272,.T.)!#9374=ORIENTED_EDGE('',*,*,#5269,.T.)!#9375=ORIENTED_EDGE('',*,*,#5266,.T.)!#9376=ORIENTED_EDGE('',*,*,#5263,.T.)!#9377=ORIENTED_EDGE('',*,*,#5260,.T.)!#9378=ORIENTED_EDGE('',*,*,#5257,.T.)!#9379=ORIENTED_EDGE('',*,*,#5254,.T.)!#9380=ORIENTED_EDGE('',*,*,#5251,.T.)!#9381=ORIENTED_EDGE('',*,*,#5248,.T.)!#9382=ORIENTED_EDGE('',*,*,#5245,.T.)!#9383=ORIENTED_EDGE('',*,*,#5242,.T.)!#9384=ORIENTED_EDGE('',*,*,#5311,.T.)!#9385=ORIENTED_EDGE('',*,*,#5308,.T.)!#9386=ORIENTED_EDGE('',*,*,#5305,.T.)!#9387=ORIENTED_EDGE('',*,*,#5302,.T.)!#9388=ORIENTED_EDGE('',*,*,#5299,.T.)!#9389=ORIENTED_EDGE('',*,*,#5295,.T.)!#9390=ORIENTED_EDGE('',*,*,#5374,.T.)!#9391=ORIENTED_EDGE('',*,*,#5371,.T.)!#9392=ORIENTED_EDGE('',*,*,#5368,.T.)!#9393=ORIENTED_EDGE('',*,*,#5365,.T.)!#9394=ORIENTED_EDGE('',*,*,#5362,.T.)!#9395=ORIENTED_EDGE('',*,*,#5359,.T.)!#9396=ORIENTED_EDGE('',*,*,#5356,.T.)!#9397=ORIENTED_EDGE('',*,*,#5353,.T.)!#9398=ORIENTED_EDGE('',*,*,#5350,.T.)!#9399=ORIENTED_EDGE('',*,*,#5347,.T.)!#9400=ORIENTED_EDGE('',*,*,#5344,.T.)!#9401=ORIENTED_EDGE('',*,*,#5341,.T.)!#9402=ORIENTED_EDGE('',*,*,#5338,.T.)!#9403=ORIENTED_EDGE('',*,*,#5335,.T.)!#9404=ORIENTED_EDGE('',*,*,#5332,.T.)!#9405=ORIENTED_EDGE('',*,*,#5329,.T.)!#9406=ORIENTED_EDGE('',*,*,#5326,.T.)!#9407=ORIENTED_EDGE('',*,*,#5323,.T.)!#9408=ORIENTED_EDGE('',*,*,#5320,.T.)!#9409=ORIENTED_EDGE('',*,*,#5317,.T.)!#9410=ORIENTED_EDGE('',*,*,#5314,.T.)!#9411=ORIENTED_EDGE('',*,*,#6510,.T.)!#9412=ORIENTED_EDGE('',*,*,#6511,.F.)!#9413=ORIENTED_EDGE('',*,*,#6512,.F.)!#9414=ORIENTED_EDGE('',*,*,#6513,.F.)!#9415=ORIENTED_EDGE('',*,*,#6439,.T.)!#9416=ORIENTED_EDGE('',*,*,#6514,.F.)!#9417=ORIENTED_EDGE('',*,*,#6431,.T.)!#9418=ORIENTED_EDGE('',*,*,#6430,.T.)!#9419=ORIENTED_EDGE('',*,*,#6347,.F.)!#9420=ORIENTED_EDGE('',*,*,#6343,.F.)!#9421=ORIENTED_EDGE('',*,*,#6450,.T.)!#9422=ORIENTED_EDGE('',*,*,#6449,.T.)!#9423=ORIENTED_EDGE('',*,*,#6515,.F.)!#9424=ORIENTED_EDGE('',*,*,#6516,.F.)!#9425=ORIENTED_EDGE('',*,*,#6517,.T.)!#9426=ORIENTED_EDGE('',*,*,#6518,.F.)!#9427=ORIENTED_EDGE('',*,*,#6510,.F.)!#9428=ORIENTED_EDGE('',*,*,#6519,.F.)!#9429=ORIENTED_EDGE('',*,*,#6520,.F.)!#9430=ORIENTED_EDGE('',*,*,#6521,.F.)!#9431=ORIENTED_EDGE('',*,*,#6427,.F.)!#9432=ORIENTED_EDGE('',*,*,#6522,.F.)!#9433=ORIENTED_EDGE('',*,*,#6523,.F.)!#9434=ORIENTED_EDGE('',*,*,#6524,.F.)!#9435=ORIENTED_EDGE('',*,*,#6517,.F.)!#9436=ORIENTED_EDGE('',*,*,#6518,.T.)!#9437=ORIENTED_EDGE('',*,*,#6524,.T.)!#9438=ORIENTED_EDGE('',*,*,#6525,.T.)!#9439=ORIENTED_EDGE('',*,*,#6511,.T.)!#9440=ORIENTED_EDGE('',*,*,#6526,.T.)!#9441=ORIENTED_EDGE('',*,*,#6512,.T.)!#9442=ORIENTED_EDGE('',*,*,#6527,.T.)!#9443=ORIENTED_EDGE('',*,*,#6505,.T.)!#9444=ORIENTED_EDGE('',*,*,#6440,.T.)!#9445=ORIENTED_EDGE('',*,*,#6513,.T.)!#9446=ORIENTED_EDGE('',*,*,#6528,.T.)!#9447=ORIENTED_EDGE('',*,*,#6443,.T.)!#9448=ORIENTED_EDGE('',*,*,#6435,.T.)!#9449=ORIENTED_EDGE('',*,*,#6442,.T.)!#9450=ORIENTED_EDGE('',*,*,#6529,.T.)!#9451=ORIENTED_EDGE('',*,*,#6424,.T.)!#9452=ORIENTED_EDGE('',*,*,#6530,.T.)!#9453=ORIENTED_EDGE('',*,*,#6425,.T.)!#9454=ORIENTED_EDGE('',*,*,#6531,.T.)!#9455=ORIENTED_EDGE('',*,*,#6509,.T.)!#9456=ORIENTED_EDGE('',*,*,#6532,.T.)!#9457=ORIENTED_EDGE('',*,*,#6503,.T.)!#9458=ORIENTED_EDGE('',*,*,#6533,.T.)!#9459=ORIENTED_EDGE('',*,*,#6444,.T.)!#9460=ORIENTED_EDGE('',*,*,#6529,.F.)!#9461=ORIENTED_EDGE('',*,*,#6533,.F.)!#9462=ORIENTED_EDGE('',*,*,#6502,.T.)!#9463=ORIENTED_EDGE('',*,*,#6531,.F.)!#9464=ORIENTED_EDGE('',*,*,#6504,.T.)!#9465=ORIENTED_EDGE('',*,*,#6532,.F.)!#9466=ORIENTED_EDGE('',*,*,#6528,.F.)!#9467=ORIENTED_EDGE('',*,*,#6526,.F.)!#9468=ORIENTED_EDGE('',*,*,#6516,.T.)!#9469=ORIENTED_EDGE('',*,*,#6426,.T.)!#9470=ORIENTED_EDGE('',*,*,#6534,.T.)!#9471=ORIENTED_EDGE('',*,*,#6522,.T.)!#9472=ORIENTED_EDGE('',*,*,#6535,.T.)!#9473=ORIENTED_EDGE('',*,*,#6523,.T.)!#9474=ORIENTED_EDGE('',*,*,#6536,.T.)!#9475=ORIENTED_EDGE('',*,*,#6507,.T.)!#9476=ORIENTED_EDGE('',*,*,#6508,.T.)!#9477=ORIENTED_EDGE('',*,*,#6536,.F.)!#9478=ORIENTED_EDGE('',*,*,#6534,.F.)!#9479=ORIENTED_EDGE('',*,*,#6530,.F.)!#9480=ORIENTED_EDGE('',*,*,#6525,.F.)!#9481=ORIENTED_EDGE('',*,*,#6535,.F.)!#9482=ORIENTED_EDGE('',*,*,#6506,.T.)!#9483=ORIENTED_EDGE('',*,*,#6527,.F.)!#9484=ORIENTED_EDGE('',*,*,#6434,.T.)!#9485=ORIENTED_EDGE('',*,*,#6537,.T.)!#9486=ORIENTED_EDGE('',*,*,#6436,.T.)!#9487=ORIENTED_EDGE('',*,*,#6422,.T.)!#9488=ORIENTED_EDGE('',*,*,#6538,.F.)!#9489=ORIENTED_EDGE('',*,*,#6437,.F.)!#9490=ORIENTED_EDGE('',*,*,#6537,.F.)!#9491=ORIENTED_EDGE('',*,*,#6433,.T.)!#9492=ORIENTED_EDGE('',*,*,#6438,.T.)!#9493=ORIENTED_EDGE('',*,*,#6538,.T.)!#9494=ORIENTED_EDGE('',*,*,#6432,.T.)!#9495=ORIENTED_EDGE('',*,*,#6514,.T.)!#9496=ORIENTED_EDGE('',*,*,#6448,.T.)!#9497=ORIENTED_EDGE('',*,*,#6539,.T.)!#9498=ORIENTED_EDGE('',*,*,#6519,.T.)!#9499=ORIENTED_EDGE('',*,*,#6515,.T.)!#9500=ORIENTED_EDGE('',*,*,#6540,.F.)!#9501=ORIENTED_EDGE('',*,*,#6520,.T.)!#9502=ORIENTED_EDGE('',*,*,#6539,.F.)!#9503=ORIENTED_EDGE('',*,*,#6447,.F.)!#9504=ORIENTED_EDGE('',*,*,#6521,.T.)!#9505=ORIENTED_EDGE('',*,*,#6540,.T.)!#9506=ORIENTED_EDGE('',*,*,#6446,.T.)!#9507=ORIENTED_EDGE('',*,*,#6428,.T.)!#9508=ORIENTED_EDGE('',*,*,#6015,.F.)!#9509=ORIENTED_EDGE('',*,*,#6014,.F.)!#9510=ORIENTED_EDGE('',*,*,#6541,.T.)!#9511=ORIENTED_EDGE('',*,*,#6542,.T.)!#9512=ORIENTED_EDGE('',*,*,#6543,.T.)!#9513=ORIENTED_EDGE('',*,*,#6544,.T.)!#9514=ORIENTED_EDGE('',*,*,#6541,.F.)!#9515=ORIENTED_EDGE('',*,*,#6545,.T.)!#9516=ORIENTED_EDGE('',*,*,#6546,.T.)!#9517=ORIENTED_EDGE('',*,*,#6543,.F.)!#9518=ORIENTED_EDGE('',*,*,#6542,.F.)!#9519=ORIENTED_EDGE('',*,*,#6544,.F.)!#9520=ORIENTED_EDGE('',*,*,#6546,.F.)!#9521=ORIENTED_EDGE('',*,*,#6547,.T.)!#9522=ORIENTED_EDGE('',*,*,#6548,.T.)!#9523=ORIENTED_EDGE('',*,*,#6545,.F.)!#9524=ORIENTED_EDGE('',*,*,#6548,.F.)!#9525=ORIENTED_EDGE('',*,*,#6549,.T.)!#9526=ORIENTED_EDGE('',*,*,#6550,.T.)!#9527=ORIENTED_EDGE('',*,*,#6551,.T.)!#9528=ORIENTED_EDGE('',*,*,#6549,.F.)!#9529=ORIENTED_EDGE('',*,*,#6547,.F.)!#9530=ORIENTED_EDGE('',*,*,#6552,.T.)!#9531=ORIENTED_EDGE('',*,*,#6553,.T.)!#9532=ORIENTED_EDGE('',*,*,#6551,.F.)!#9533=ORIENTED_EDGE('',*,*,#6550,.F.)!#9534=ORIENTED_EDGE('',*,*,#6552,.F.)!#9535=ORIENTED_EDGE('',*,*,#6553,.F.)!#9536=ORIENTED_EDGE('',*,*,#6554,.T.)!#9537=ORIENTED_EDGE('',*,*,#6555,.T.)!#9538=ORIENTED_EDGE('',*,*,#6556,.T.)!#9539=ORIENTED_EDGE('',*,*,#6557,.T.)!#9540=ORIENTED_EDGE('',*,*,#6558,.T.)!#9541=ORIENTED_EDGE('',*,*,#6559,.T.)!#9542=ORIENTED_EDGE('',*,*,#6560,.T.)!#9543=ORIENTED_EDGE('',*,*,#6561,.T.)!#9544=ORIENTED_EDGE('',*,*,#6562,.T.)!#9545=ORIENTED_EDGE('',*,*,#6563,.T.)!#9546=ORIENTED_EDGE('',*,*,#6554,.F.)!#9547=ORIENTED_EDGE('',*,*,#6564,.T.)!#9548=ORIENTED_EDGE('',*,*,#6565,.T.)!#9549=ORIENTED_EDGE('',*,*,#6558,.F.)!#9550=ORIENTED_EDGE('',*,*,#6566,.T.)!#9551=ORIENTED_EDGE('',*,*,#6567,.T.)!#9552=ORIENTED_EDGE('',*,*,#6556,.F.)!#9553=ORIENTED_EDGE('',*,*,#6568,.T.)!#9554=ORIENTED_EDGE('',*,*,#6569,.T.)!#9555=ORIENTED_EDGE('',*,*,#6560,.F.)!#9556=ORIENTED_EDGE('',*,*,#6570,.T.)!#9557=ORIENTED_EDGE('',*,*,#6571,.T.)!#9558=ORIENTED_EDGE('',*,*,#6562,.F.)!#9559=ORIENTED_EDGE('',*,*,#6572,.T.)!#9560=ORIENTED_EDGE('',*,*,#5717,.T.)!#9561=ORIENTED_EDGE('',*,*,#6573,.T.)!#9562=ORIENTED_EDGE('',*,*,#5631,.T.)!#9563=ORIENTED_EDGE('',*,*,#6574,.T.)!#9564=ORIENTED_EDGE('',*,*,#5674,.T.)!#9565=ORIENTED_EDGE('',*,*,#6575,.F.)!#9566=ORIENTED_EDGE('',*,*,#6576,.F.)!#9567=ORIENTED_EDGE('',*,*,#6577,.T.)!#9568=ORIENTED_EDGE('',*,*,#6578,.T.)!#9569=ORIENTED_EDGE('',*,*,#6579,.T.)!#9570=ORIENTED_EDGE('',*,*,#6577,.F.)!#9571=ORIENTED_EDGE('',*,*,#6570,.F.)!#9572=ORIENTED_EDGE('',*,*,#6580,.F.)!#9573=ORIENTED_EDGE('',*,*,#6571,.F.)!#9574=ORIENTED_EDGE('',*,*,#6581,.T.)!#9575=ORIENTED_EDGE('',*,*,#6582,.F.)!#9576=ORIENTED_EDGE('',*,*,#6583,.F.)!#9577=ORIENTED_EDGE('',*,*,#6581,.F.)!#9578=ORIENTED_EDGE('',*,*,#6582,.T.)!#9579=ORIENTED_EDGE('',*,*,#6583,.T.)!#9580=ORIENTED_EDGE('',*,*,#6584,.F.)!#9581=ORIENTED_EDGE('',*,*,#6568,.F.)!#9582=ORIENTED_EDGE('',*,*,#6585,.F.)!#9583=ORIENTED_EDGE('',*,*,#6569,.F.)!#9584=ORIENTED_EDGE('',*,*,#6586,.T.)!#9585=ORIENTED_EDGE('',*,*,#6587,.F.)!#9586=ORIENTED_EDGE('',*,*,#6588,.F.)!#9587=ORIENTED_EDGE('',*,*,#6586,.F.)!#9588=ORIENTED_EDGE('',*,*,#6587,.T.)!#9589=ORIENTED_EDGE('',*,*,#6588,.T.)!#9590=ORIENTED_EDGE('',*,*,#6589,.F.)!#9591=ORIENTED_EDGE('',*,*,#6564,.F.)!#9592=ORIENTED_EDGE('',*,*,#6590,.F.)!#9593=ORIENTED_EDGE('',*,*,#6565,.F.)!#9594=ORIENTED_EDGE('',*,*,#6591,.T.)!#9595=ORIENTED_EDGE('',*,*,#6592,.F.)!#9596=ORIENTED_EDGE('',*,*,#6593,.F.)!#9597=ORIENTED_EDGE('',*,*,#6591,.F.)!#9598=ORIENTED_EDGE('',*,*,#6592,.T.)!#9599=ORIENTED_EDGE('',*,*,#6593,.T.)!#9600=ORIENTED_EDGE('',*,*,#6594,.F.)!#9601=ORIENTED_EDGE('',*,*,#6566,.F.)!#9602=ORIENTED_EDGE('',*,*,#6595,.F.)!#9603=ORIENTED_EDGE('',*,*,#6567,.F.)!#9604=ORIENTED_EDGE('',*,*,#6596,.T.)!#9605=ORIENTED_EDGE('',*,*,#6597,.F.)!#9606=ORIENTED_EDGE('',*,*,#6598,.F.)!#9607=ORIENTED_EDGE('',*,*,#6596,.F.)!#9608=ORIENTED_EDGE('',*,*,#6597,.T.)!#9609=ORIENTED_EDGE('',*,*,#6598,.T.)!#9610=ORIENTED_EDGE('',*,*,#6599,.F.)!#9611=ORIENTED_EDGE('',*,*,#6575,.T.)!#9612=ORIENTED_EDGE('',*,*,#6576,.T.)!#9613=ORIENTED_EDGE('',*,*,#6559,.F.)!#9614=ORIENTED_EDGE('',*,*,#6590,.T.)!#9615=ORIENTED_EDGE('',*,*,#6557,.F.)!#9616=ORIENTED_EDGE('',*,*,#6595,.T.)!#9617=ORIENTED_EDGE('',*,*,#6555,.F.)!#9618=ORIENTED_EDGE('',*,*,#6563,.F.)!#9619=ORIENTED_EDGE('',*,*,#6580,.T.)!#9620=ORIENTED_EDGE('',*,*,#6561,.F.)!#9621=ORIENTED_EDGE('',*,*,#6585,.T.)!#9622=ORIENTED_EDGE('',*,*,#6579,.F.)!#9623=ORIENTED_EDGE('',*,*,#6578,.F.)!#9624=ORIENTED_EDGE('',*,*,#6333,.T.)!#9625=ORIENTED_EDGE('',*,*,#6334,.T.)!#9626=ORIENTED_EDGE('',*,*,#6584,.T.)!#9627=ORIENTED_EDGE('',*,*,#6600,.T.)!#9628=ORIENTED_EDGE('',*,*,#6574,.F.)!#9629=ORIENTED_EDGE('',*,*,#6600,.F.)!#9630=ORIENTED_EDGE('',*,*,#6589,.T.)!#9631=ORIENTED_EDGE('',*,*,#6601,.T.)!#9632=ORIENTED_EDGE('',*,*,#6573,.F.)!#9633=ORIENTED_EDGE('',*,*,#6601,.F.)!#9634=ORIENTED_EDGE('',*,*,#6594,.T.)!#9635=ORIENTED_EDGE('',*,*,#6602,.T.)!#9636=ORIENTED_EDGE('',*,*,#6572,.F.)!#9637=ORIENTED_EDGE('',*,*,#6602,.F.)!#9638=ORIENTED_EDGE('',*,*,#6599,.T.)!#9639=ORIENTED_EDGE('',*,*,#6603,.T.)!#9640=ORIENTED_EDGE('',*,*,#6332,.F.)!#9641=ORIENTED_EDGE('',*,*,#6603,.F.)!#9642=ORIENTED_EDGE('',*,*,#6604,.T.)!#9643=ORIENTED_EDGE('',*,*,#6605,.T.)!#9644=ORIENTED_EDGE('',*,*,#6606,.T.)!#9645=ORIENTED_EDGE('',*,*,#6607,.T.)!#9646=ORIENTED_EDGE('',*,*,#6608,.T.)!#9647=ORIENTED_EDGE('',*,*,#6609,.T.)!#9648=ORIENTED_EDGE('',*,*,#6610,.T.)!#9649=ORIENTED_EDGE('',*,*,#6611,.F.)!#9650=ORIENTED_EDGE('',*,*,#6488,.F.)!#9651=ORIENTED_EDGE('',*,*,#6612,.T.)!#9652=ORIENTED_EDGE('',*,*,#6613,.T.)!#9653=ORIENTED_EDGE('',*,*,#6614,.T.)!#9654=ORIENTED_EDGE('',*,*,#6612,.F.)!#9655=ORIENTED_EDGE('',*,*,#6487,.F.)!#9656=ORIENTED_EDGE('',*,*,#6615,.T.)!#9657=ORIENTED_EDGE('',*,*,#6616,.T.)!#9658=ORIENTED_EDGE('',*,*,#6617,.T.)!#9659=ORIENTED_EDGE('',*,*,#6615,.F.)!#9660=ORIENTED_EDGE('',*,*,#6486,.F.)!#9661=ORIENTED_EDGE('',*,*,#6618,.T.)!#9662=ORIENTED_EDGE('',*,*,#6619,.T.)!#9663=ORIENTED_EDGE('',*,*,#6620,.T.)!#9664=ORIENTED_EDGE('',*,*,#6621,.T.)!#9665=ORIENTED_EDGE('',*,*,#6618,.F.)!#9666=ORIENTED_EDGE('',*,*,#6485,.F.)!#9667=ORIENTED_EDGE('',*,*,#6622,.T.)!#9668=ORIENTED_EDGE('',*,*,#6623,.T.)!#9669=ORIENTED_EDGE('',*,*,#6624,.T.)!#9670=ORIENTED_EDGE('',*,*,#6622,.F.)!#9671=ORIENTED_EDGE('',*,*,#6484,.F.)!#9672=ORIENTED_EDGE('',*,*,#6625,.T.)!#9673=ORIENTED_EDGE('',*,*,#6626,.T.)!#9674=ORIENTED_EDGE('',*,*,#6627,.T.)!#9675=ORIENTED_EDGE('',*,*,#6625,.F.)!#9676=ORIENTED_EDGE('',*,*,#6483,.F.)!#9677=ORIENTED_EDGE('',*,*,#6611,.T.)!#9678=ORIENTED_EDGE('',*,*,#6626,.F.)!#9679=ORIENTED_EDGE('',*,*,#6610,.F.)!#9680=ORIENTED_EDGE('',*,*,#6607,.F.)!#9681=ORIENTED_EDGE('',*,*,#6627,.F.)!#9682=ORIENTED_EDGE('',*,*,#6606,.F.)!#9683=ORIENTED_EDGE('',*,*,#6623,.F.)!#9684=ORIENTED_EDGE('',*,*,#6613,.F.)!#9685=ORIENTED_EDGE('',*,*,#6614,.F.)!#9686=ORIENTED_EDGE('',*,*,#6608,.F.)!#9687=ORIENTED_EDGE('',*,*,#6624,.F.)!#9688=ORIENTED_EDGE('',*,*,#6605,.F.)!#9689=ORIENTED_EDGE('',*,*,#6620,.F.)!#9690=ORIENTED_EDGE('',*,*,#6616,.F.)!#9691=ORIENTED_EDGE('',*,*,#6617,.F.)!#9692=ORIENTED_EDGE('',*,*,#6609,.F.)!#9693=ORIENTED_EDGE('',*,*,#6621,.F.)!#9694=ORIENTED_EDGE('',*,*,#6604,.F.)!#9695=ORIENTED_EDGE('',*,*,#6619,.F.)!#9696=ORIENTED_EDGE('',*,*,#6628,.T.)!#9697=ORIENTED_EDGE('',*,*,#6629,.T.)!#9698=ORIENTED_EDGE('',*,*,#6630,.T.)!#9699=ORIENTED_EDGE('',*,*,#6631,.T.)!#9700=ORIENTED_EDGE('',*,*,#6632,.T.)!#9701=ORIENTED_EDGE('',*,*,#6633,.T.)!#9702=ORIENTED_EDGE('',*,*,#6634,.T.)!#9703=ORIENTED_EDGE('',*,*,#6635,.F.)!#9704=ORIENTED_EDGE('',*,*,#6390,.F.)!#9705=ORIENTED_EDGE('',*,*,#6636,.T.)!#9706=ORIENTED_EDGE('',*,*,#6637,.T.)!#9707=ORIENTED_EDGE('',*,*,#6638,.T.)!#9708=ORIENTED_EDGE('',*,*,#6636,.F.)!#9709=ORIENTED_EDGE('',*,*,#6389,.F.)!#9710=ORIENTED_EDGE('',*,*,#6639,.T.)!#9711=ORIENTED_EDGE('',*,*,#6640,.T.)!#9712=ORIENTED_EDGE('',*,*,#6641,.T.)!#9713=ORIENTED_EDGE('',*,*,#6639,.F.)!#9714=ORIENTED_EDGE('',*,*,#6388,.F.)!#9715=ORIENTED_EDGE('',*,*,#6642,.T.)!#9716=ORIENTED_EDGE('',*,*,#6643,.T.)!#9717=ORIENTED_EDGE('',*,*,#6644,.T.)!#9718=ORIENTED_EDGE('',*,*,#6645,.T.)!#9719=ORIENTED_EDGE('',*,*,#6642,.F.)!#9720=ORIENTED_EDGE('',*,*,#6387,.F.)!#9721=ORIENTED_EDGE('',*,*,#6646,.T.)!#9722=ORIENTED_EDGE('',*,*,#6647,.T.)!#9723=ORIENTED_EDGE('',*,*,#6648,.T.)!#9724=ORIENTED_EDGE('',*,*,#6646,.F.)!#9725=ORIENTED_EDGE('',*,*,#6386,.F.)!#9726=ORIENTED_EDGE('',*,*,#6649,.T.)!#9727=ORIENTED_EDGE('',*,*,#6650,.T.)!#9728=ORIENTED_EDGE('',*,*,#6651,.T.)!#9729=ORIENTED_EDGE('',*,*,#6649,.F.)!#9730=ORIENTED_EDGE('',*,*,#6385,.F.)!#9731=ORIENTED_EDGE('',*,*,#6635,.T.)!#9732=ORIENTED_EDGE('',*,*,#6650,.F.)!#9733=ORIENTED_EDGE('',*,*,#6634,.F.)!#9734=ORIENTED_EDGE('',*,*,#6631,.F.)!#9735=ORIENTED_EDGE('',*,*,#6651,.F.)!#9736=ORIENTED_EDGE('',*,*,#6630,.F.)!#9737=ORIENTED_EDGE('',*,*,#6647,.F.)!#9738=ORIENTED_EDGE('',*,*,#6637,.F.)!#9739=ORIENTED_EDGE('',*,*,#6638,.F.)!#9740=ORIENTED_EDGE('',*,*,#6632,.F.)!#9741=ORIENTED_EDGE('',*,*,#6648,.F.)!#9742=ORIENTED_EDGE('',*,*,#6629,.F.)!#9743=ORIENTED_EDGE('',*,*,#6644,.F.)!#9744=ORIENTED_EDGE('',*,*,#6640,.F.)!#9745=ORIENTED_EDGE('',*,*,#6641,.F.)!#9746=ORIENTED_EDGE('',*,*,#6633,.F.)!#9747=ORIENTED_EDGE('',*,*,#6645,.F.)!#9748=ORIENTED_EDGE('',*,*,#6628,.F.)!#9749=ORIENTED_EDGE('',*,*,#6643,.F.)!#9750=CONICAL_SURFACE('',#10808,0.303004763209582,1.0471975511966)!#9751=CONICAL_SURFACE('',#10810,0.303004763209582,1.0471975511966)!#9752=CONICAL_SURFACE('',#10812,0.303004763209582,1.0471975511966)!#9753=CONICAL_SURFACE('',#10814,0.303004763209582,1.0471975511966)!#9754=CONICAL_SURFACE('',#10816,0.303004763209582,1.0471975511966)!#9755=CONICAL_SURFACE('',#10818,0.303004763209582,1.0471975511966)!#9756=CONICAL_SURFACE('',#10827,0.303004763209582,1.0471975511966)!#9757=CONICAL_SURFACE('',#10829,0.303004763209582,1.0471975511966)!#9758=CONICAL_SURFACE('',#10831,0.303004763209582,1.0471975511966)!#9759=CONICAL_SURFACE('',#10833,0.303004763209582,1.0471975511966)!#9760=CONICAL_SURFACE('',#10835,0.303004763209582,1.0471975511966)!#9761=CONICAL_SURFACE('',#10837,0.303004763209582,1.0471975511966)!#9762=CONICAL_SURFACE('',#10903,0.226332521472478,1.13446401379631)!#9763=CONICAL_SURFACE('',#10905,0.226332521472478,1.13446401379631)!#9764=CONICAL_SURFACE('',#10907,0.226332521472478,1.13446401379631)!#9765=CONICAL_SURFACE('',#10909,0.226332521472478,1.13446401379631)!#9766=CONICAL_SURFACE('',#10920,0.226332521472478,1.13446401379631)!#9767=CONICAL_SURFACE('',#10922,0.226332521472478,1.13446401379631)!#9768=CONICAL_SURFACE('',#10924,0.226332521472478,1.13446401379631)!#9769=CONICAL_SURFACE('',#10926,0.226332521472478,1.13446401379631)!#9770=CONICAL_SURFACE('',#10959,0.226332521472478,1.13446401379631)!#9771=CONICAL_SURFACE('',#10961,0.226332521472478,1.13446401379631)!#9772=CONICAL_SURFACE('',#10963,0.226332521472478,1.13446401379631)!#9773=CONICAL_SURFACE('',#10965,0.226332521472478,1.13446401379631)!#9774=CONICAL_SURFACE('',#10976,0.226332521472478,1.13446401379631)!#9775=CONICAL_SURFACE('',#10978,0.226332521472478,1.13446401379631)!#9776=CONICAL_SURFACE('',#10980,0.226332521472478,1.13446401379631)!#9777=CONICAL_SURFACE('',#10982,0.226332521472478,1.13446401379631)!#9778=CONICAL_SURFACE('',#11021,1.13,1.22173047639603)!#9779=CONICAL_SURFACE('',#11033,1.15947223649159,0.401425727958699)!#9780=CONICAL_SURFACE('',#11049,1.05852776350841,0.401425727958694)!#9781=CONICAL_SURFACE('',#11071,1.38875,1.22173047639603)!#9782=CONICAL_SURFACE('',#11081,1.44097223649159,0.4014257279587)!#9783=CONICAL_SURFACE('',#11097,1.34002776350841,0.4014257279587)!#9784=CONICAL_SURFACE('',#11117,0.404006350946109,1.0471975511966)!#9785=CONICAL_SURFACE('',#11119,0.404006350946109,1.0471975511966)!#9786=CONICAL_SURFACE('',#11121,0.404006350946109,1.0471975511966)!#9787=CONICAL_SURFACE('',#11123,0.404006350946109,1.0471975511966)!#9788=CONICAL_SURFACE('',#11125,0.404006350946109,1.0471975511966)!#9789=CONICAL_SURFACE('',#11127,0.404006350946109,1.0471975511966)!#9790=CONICAL_SURFACE('',#11152,0.404006350946109,1.0471975511966)!#9791=CONICAL_SURFACE('',#11154,0.404006350946109,1.0471975511966)!#9792=CONICAL_SURFACE('',#11156,0.404006350946109,1.0471975511966)!#9793=CONICAL_SURFACE('',#11158,0.404006350946109,1.0471975511966)!#9794=CONICAL_SURFACE('',#11160,0.404006350946109,1.0471975511966)!#9795=CONICAL_SURFACE('',#11162,0.404006350946109,1.0471975511966)!#9796=CONICAL_SURFACE('',#11187,0.404006350946109,1.0471975511966)!#9797=CONICAL_SURFACE('',#11189,0.404006350946109,1.0471975511966)!#9798=CONICAL_SURFACE('',#11191,0.404006350946109,1.0471975511966)!#9799=CONICAL_SURFACE('',#11193,0.404006350946109,1.0471975511966)!#9800=CONICAL_SURFACE('',#11195,0.404006350946109,1.0471975511966)!#9801=CONICAL_SURFACE('',#11197,0.404006350946109,1.0471975511966)!#9802=CONICAL_SURFACE('',#11222,0.404006350946109,1.0471975511966)!#9803=CONICAL_SURFACE('',#11224,0.404006350946109,1.0471975511966)!#9804=CONICAL_SURFACE('',#11226,0.404006350946109,1.0471975511966)!#9805=CONICAL_SURFACE('',#11228,0.404006350946109,1.0471975511966)!#9806=CONICAL_SURFACE('',#11230,0.404006350946109,1.0471975511966)!#9807=CONICAL_SURFACE('',#11232,0.404006350946109,1.0471975511966)!#9808=CONICAL_SURFACE('',#11245,0.269337567297406,1.0471975511966)!#9809=CONICAL_SURFACE('',#11247,0.269337567297406,1.0471975511966)!#9810=CONICAL_SURFACE('',#11249,0.269337567297406,1.0471975511966)!#9811=CONICAL_SURFACE('',#11251,0.269337567297406,1.0471975511966)!#9812=CONICAL_SURFACE('',#11253,0.269337567297406,1.0471975511966)!#9813=CONICAL_SURFACE('',#11255,0.269337567297406,1.0471975511966)!#9814=CONICAL_SURFACE('',#11361,3.70084332983076,1.230457122656)!#9815=CONICAL_SURFACE('',#11362,3.70084332983076,1.230457122656)!#9816=CONICAL_SURFACE('',#11368,3.70084332983076,1.230457122656)!#9817=CONICAL_SURFACE('',#11375,3.70084332983076,1.230457122656)!#9818=CONICAL_SURFACE('',#11396,3.55600294570248,1.22173047639603)!#9819=CONICAL_SURFACE('',#11414,0.833661417322835,0.0605764758933113)!#9820=CONICAL_SURFACE('',#11436,1.93051181102362,1.12437935793988)!#9821=CONICAL_SURFACE('',#11447,2.68474409448819,1.22173047639603)!#9822=CONICAL_SURFACE('',#11453,3.59821999661435,1.22173047639603)!#9823=CONICAL_SURFACE('',#11463,2.6332273463077,0.523598775598296)!#9824=CONICAL_SURFACE('',#11493,2.7996838498036,1.22173047639603)!#9825=CONICAL_SURFACE('',#11507,2.83470159754729,1.230457122656)!#9826=CONICAL_SURFACE('',#11509,2.83470159754729,1.230457122656)!#9827=CONICAL_SURFACE('',#11521,2.83470159754729,1.230457122656)!#9828=CONICAL_SURFACE('',#11523,2.83470159754729,1.230457122656)!#9829=CONICAL_SURFACE('',#11622,0.269337567297406,1.0471975511966)!#9830=CONICAL_SURFACE('',#11623,0.269337567297406,1.0471975511966)!#9831=CONICAL_SURFACE('',#11624,0.269337567297406,1.0471975511966)!#9832=CONICAL_SURFACE('',#11625,0.269337567297406,1.0471975511966)!#9833=CONICAL_SURFACE('',#11626,0.269337567297406,1.0471975511966)!#9834=CONICAL_SURFACE('',#11627,0.269337567297406,1.0471975511966)!#9835=CONICAL_SURFACE('',#11713,0.788629092122372,0.785398163397448)!#9836=CONICAL_SURFACE('',#11785,3.64121928287447,1.22173047639603)!#9837=CONICAL_SURFACE('',#11876,0.303004763209582,1.0471975511966)!#9838=CONICAL_SURFACE('',#11877,0.303004763209582,1.0471975511966)!#9839=CONICAL_SURFACE('',#11878,0.303004763209582,1.0471975511966)!#9840=CONICAL_SURFACE('',#11879,0.303004763209582,1.0471975511966)!#9841=CONICAL_SURFACE('',#11880,0.303004763209582,1.0471975511966)!#9842=CONICAL_SURFACE('',#11881,0.303004763209582,1.0471975511966)!#9843=CONICAL_SURFACE('',#11895,0.303004763209582,1.0471975511966)!#9844=CONICAL_SURFACE('',#11896,0.303004763209582,1.0471975511966)!#9845=CONICAL_SURFACE('',#11897,0.303004763209582,1.0471975511966)!#9846=CONICAL_SURFACE('',#11898,0.303004763209582,1.0471975511966)!#9847=CONICAL_SURFACE('',#11899,0.303004763209582,1.0471975511966)!#9848=CONICAL_SURFACE('',#11900,0.303004763209582,1.0471975511966)!#9849=STYLED_ITEM('',(#19196),#10197)!#9850=STYLED_ITEM('',(#19196),#10198)!#9851=STYLED_ITEM('',(#19196),#10199)!#9852=STYLED_ITEM('',(#19196),#10200)!#9853=STYLED_ITEM('',(#19196),#10201)!#9854=STYLED_ITEM('',(#19196),#10202)!#9855=STYLED_ITEM('',(#19196),#10203)!#9856=STYLED_ITEM('',(#19196),#10204)!#9857=STYLED_ITEM('',(#19196),#10205)!#9858=STYLED_ITEM('',(#19196),#10206)!#9859=STYLED_ITEM('',(#19196),#10207)!#9860=STYLED_ITEM('',(#19196),#10208)!#9861=STYLED_ITEM('',(#19196),#10209)!#9862=STYLED_ITEM('',(#19196),#10210)!#9863=STYLED_ITEM('',(#19196),#10211)!#9864=STYLED_ITEM('',(#19196),#10212)!#9865=STYLED_ITEM('',(#19196),#10213)!#9866=STYLED_ITEM('',(#19196),#10214)!#9867=STYLED_ITEM('',(#19196),#10215)!#9868=STYLED_ITEM('',(#19196),#10216)!#9869=STYLED_ITEM('',(#19196),#10217)!#9870=STYLED_ITEM('',(#19196),#10218)!#9871=STYLED_ITEM('',(#19196),#10219)!#9872=STYLED_ITEM('',(#19196),#10220)!#9873=STYLED_ITEM('',(#19196),#10221)!#9874=STYLED_ITEM('',(#19196),#10222)!#9875=STYLED_ITEM('',(#19197),#10223)!#9876=STYLED_ITEM('',(#19197),#10224)!#9877=STYLED_ITEM('',(#19197),#10225)!#9878=STYLED_ITEM('',(#19197),#10226)!#9879=STYLED_ITEM('',(#19197),#10227)!#9880=STYLED_ITEM('',(#19197),#10228)!#9881=STYLED_ITEM('',(#19197),#10229)!#9882=STYLED_ITEM('',(#19197),#10230)!#9883=STYLED_ITEM('',(#19197),#10231)!#9884=STYLED_ITEM('',(#19197),#10232)!#9885=STYLED_ITEM('',(#19197),#10233)!#9886=STYLED_ITEM('',(#19197),#10234)!#9887=STYLED_ITEM('',(#19198),#10235)!#9888=STYLED_ITEM('',(#19197),#10236)!#9889=STYLED_ITEM('',(#19197),#10237)!#9890=STYLED_ITEM('',(#19197),#10238)!#9891=STYLED_ITEM('',(#19197),#10239)!#9892=STYLED_ITEM('',(#19197),#10240)!#9893=STYLED_ITEM('',(#19197),#10241)!#9894=STYLED_ITEM('',(#19197),#10242)!#9895=STYLED_ITEM('',(#19197),#10243)!#9896=STYLED_ITEM('',(#19197),#10244)!#9897=STYLED_ITEM('',(#19197),#10245)!#9898=STYLED_ITEM('',(#19197),#10246)!#9899=STYLED_ITEM('',(#19198),#10247)!#9900=STYLED_ITEM('',(#19198),#10248)!#9901=STYLED_ITEM('',(#19197),#10249)!#9902=STYLED_ITEM('',(#19197),#10250)!#9903=STYLED_ITEM('',(#19197),#10251)!#9904=STYLED_ITEM('',(#19197),#10252)!#9905=STYLED_ITEM('',(#19197),#10253)!#9906=STYLED_ITEM('',(#19197),#10254)!#9907=STYLED_ITEM('',(#19197),#10255)!#9908=STYLED_ITEM('',(#19197),#10256)!#9909=STYLED_ITEM('',(#19197),#10257)!#9910=STYLED_ITEM('',(#19197),#10258)!#9911=STYLED_ITEM('',(#19197),#10259)!#9912=STYLED_ITEM('',(#19197),#10260)!#9913=STYLED_ITEM('',(#19197),#10261)!#9914=STYLED_ITEM('',(#19197),#10262)!#9915=STYLED_ITEM('',(#19197),#10263)!#9916=STYLED_ITEM('',(#19197),#10264)!#9917=STYLED_ITEM('',(#19197),#10265)!#9918=STYLED_ITEM('',(#19197),#10266)!#9919=STYLED_ITEM('',(#19197),#10267)!#9920=STYLED_ITEM('',(#19197),#10268)!#9921=STYLED_ITEM('',(#19197),#10269)!#9922=STYLED_ITEM('',(#19199),#10270)!#9923=STYLED_ITEM('',(#19197),#10271)!#9924=STYLED_ITEM('',(#19197),#10272)!#9925=STYLED_ITEM('',(#19197),#10273)!#9926=STYLED_ITEM('',(#19197),#10274)!#9927=STYLED_ITEM('',(#19197),#10275)!#9928=STYLED_ITEM('',(#19197),#10276)!#9929=STYLED_ITEM('',(#19197),#10277)!#9930=STYLED_ITEM('',(#19197),#10278)!#9931=STYLED_ITEM('',(#19197),#10279)!#9932=STYLED_ITEM('',(#19197),#10280)!#9933=STYLED_ITEM('',(#19197),#10281)!#9934=STYLED_ITEM('',(#19197),#10282)!#9935=STYLED_ITEM('',(#19197),#10283)!#9936=STYLED_ITEM('',(#19197),#10284)!#9937=STYLED_ITEM('',(#19197),#10285)!#9938=STYLED_ITEM('',(#19197),#10286)!#9939=STYLED_ITEM('',(#19197),#10287)!#9940=STYLED_ITEM('',(#19197),#10288)!#9941=STYLED_ITEM('',(#19197),#10289)!#9942=STYLED_ITEM('',(#19197),#10290)!#9943=STYLED_ITEM('',(#19197),#10291)!#9944=STYLED_ITEM('',(#19197),#10292)!#9945=STYLED_ITEM('',(#19197),#10293)!#9946=STYLED_ITEM('',(#19197),#10294)!#9947=STYLED_ITEM('',(#19197),#10295)!#9948=STYLED_ITEM('',(#19197),#10296)!#9949=STYLED_ITEM('',(#19197),#10297)!#9950=STYLED_ITEM('',(#19199),#10298)!#9951=STYLED_ITEM('',(#19196),#10299)!#9952=STYLED_ITEM('',(#19196),#10300)!#9953=STYLED_ITEM('',(#19196),#10301)!#9954=STYLED_ITEM('',(#19196),#10302)!#9955=STYLED_ITEM('',(#19196),#10303)!#9956=STYLED_ITEM('',(#19196),#10304)!#9957=STYLED_ITEM('',(#19196),#10305)!#9958=STYLED_ITEM('',(#19196),#10306)!#9959=STYLED_ITEM('',(#19196),#10307)!#9960=STYLED_ITEM('',(#19196),#10308)!#9961=STYLED_ITEM('',(#19196),#10309)!#9962=STYLED_ITEM('',(#19196),#10312)!#9963=STYLED_ITEM('',(#19196),#10313)!#9964=STYLED_ITEM('',(#19196),#10314)!#9965=STYLED_ITEM('',(#19196),#10315)!#9966=STYLED_ITEM('',(#19196),#10316)!#9967=STYLED_ITEM('',(#19196),#10317)!#9968=STYLED_ITEM('',(#19196),#10318)!#9969=STYLED_ITEM('',(#19196),#10319)!#9970=STYLED_ITEM('',(#19196),#10320)!#9971=STYLED_ITEM('',(#19196),#10321)!#9972=STYLED_ITEM('',(#19196),#10322)!#9973=STYLED_ITEM('',(#19200),#10334)!#9974=STYLED_ITEM('',(#19196),#10335)!#9975=STYLED_ITEM('',(#19196),#10336)!#9976=STYLED_ITEM('',(#19196),#10337)!#9977=STYLED_ITEM('',(#19196),#10338)!#9978=STYLED_ITEM('',(#19196),#10339)!#9979=STYLED_ITEM('',(#19196),#10340)!#9980=STYLED_ITEM('',(#19196),#10341)!#9981=STYLED_ITEM('',(#19196),#10342)!#9982=STYLED_ITEM('',(#19196),#10343)!#9983=STYLED_ITEM('',(#19196),#10344)!#9984=STYLED_ITEM('',(#19196),#10345)!#9985=STYLED_ITEM('',(#19196),#10348)!#9986=STYLED_ITEM('',(#19196),#10349)!#9987=STYLED_ITEM('',(#19196),#10350)!#9988=STYLED_ITEM('',(#19196),#10351)!#9989=STYLED_ITEM('',(#19196),#10352)!#9990=STYLED_ITEM('',(#19196),#10353)!#9991=STYLED_ITEM('',(#19196),#10354)!#9992=STYLED_ITEM('',(#19196),#10355)!#9993=STYLED_ITEM('',(#19196),#10356)!#9994=STYLED_ITEM('',(#19196),#10357)!#9995=STYLED_ITEM('',(#19196),#10358)!#9996=STYLED_ITEM('',(#19196),#10397)!#9997=STYLED_ITEM('',(#19196),#10398)!#9998=STYLED_ITEM('',(#19196),#10399)!#9999=STYLED_ITEM('',(#19196),#10400)!#10000=STYLED_ITEM('',(#19196),#10401)!#10001=STYLED_ITEM('',(#19196),#10402)!#10002=STYLED_ITEM('',(#19196),#10403)!#10003=STYLED_ITEM('',(#19196),#10404)!#10004=STYLED_ITEM('',(#19196),#10405)!#10005=STYLED_ITEM('',(#19196),#10406)!#10006=STYLED_ITEM('',(#19196),#10407)!#10007=STYLED_ITEM('',(#19196),#10408)!#10008=STYLED_ITEM('',(#19196),#10409)!#10009=STYLED_ITEM('',(#19196),#10410)!#10010=STYLED_ITEM('',(#19196),#10411)!#10011=STYLED_ITEM('',(#19196),#10412)!#10012=STYLED_ITEM('',(#19196),#10413)!#10013=STYLED_ITEM('',(#19196),#10414)!#10014=STYLED_ITEM('',(#19196),#10415)!#10015=STYLED_ITEM('',(#19196),#10416)!#10016=STYLED_ITEM('',(#19196),#10417)!#10017=STYLED_ITEM('',(#19196),#10418)!#10018=STYLED_ITEM('',(#19196),#10419)!#10019=STYLED_ITEM('',(#19196),#10420)!#10020=STYLED_ITEM('',(#19196),#10421)!#10021=STYLED_ITEM('',(#19196),#10422)!#10022=STYLED_ITEM('',(#19196),#10423)!#10023=STYLED_ITEM('',(#19196),#10424)!#10024=STYLED_ITEM('',(#19196),#10425)!#10025=STYLED_ITEM('',(#19196),#10426)!#10026=STYLED_ITEM('',(#19196),#10427)!#10027=STYLED_ITEM('',(#19196),#10428)!#10028=STYLED_ITEM('',(#19196),#10429)!#10029=STYLED_ITEM('',(#19196),#10430)!#10030=STYLED_ITEM('',(#19196),#10431)!#10031=STYLED_ITEM('',(#19196),#10432)!#10032=STYLED_ITEM('',(#19196),#10433)!#10033=STYLED_ITEM('',(#19196),#10434)!#10034=STYLED_ITEM('',(#19196),#10435)!#10035=STYLED_ITEM('',(#19196),#10436)!#10036=STYLED_ITEM('',(#19196),#10437)!#10037=STYLED_ITEM('',(#19196),#10438)!#10038=STYLED_ITEM('',(#19196),#10439)!#10039=STYLED_ITEM('',(#19196),#10440)!#10040=STYLED_ITEM('',(#19196),#10441)!#10041=STYLED_ITEM('',(#19196),#10442)!#10042=STYLED_ITEM('',(#19196),#10443)!#10043=STYLED_ITEM('',(#19196),#10444)!#10044=STYLED_ITEM('',(#19196),#10445)!#10045=STYLED_ITEM('',(#19196),#10446)!#10046=STYLED_ITEM('',(#19196),#10447)!#10047=STYLED_ITEM('',(#19196),#10448)!#10048=STYLED_ITEM('',(#19196),#10449)!#10049=STYLED_ITEM('',(#19196),#10450)!#10050=STYLED_ITEM('',(#19196),#10451)!#10051=STYLED_ITEM('',(#19196),#10452)!#10052=STYLED_ITEM('',(#19196),#10453)!#10053=STYLED_ITEM('',(#19196),#10454)!#10054=STYLED_ITEM('',(#19196),#10455)!#10055=STYLED_ITEM('',(#19196),#10456)!#10056=STYLED_ITEM('',(#19196),#10457)!#10057=STYLED_ITEM('',(#19196),#10458)!#10058=STYLED_ITEM('',(#19196),#10459)!#10059=STYLED_ITEM('',(#19196),#10460)!#10060=STYLED_ITEM('',(#19196),#10461)!#10061=STYLED_ITEM('',(#19196),#10462)!#10062=STYLED_ITEM('',(#19196),#10463)!#10063=STYLED_ITEM('',(#19196),#10464)!#10064=STYLED_ITEM('',(#19196),#10465)!#10065=STYLED_ITEM('',(#19196),#10466)!#10066=STYLED_ITEM('',(#19196),#10467)!#10067=STYLED_ITEM('',(#19196),#10468)!#10068=STYLED_ITEM('',(#19196),#10469)!#10069=STYLED_ITEM('',(#19196),#10470)!#10070=STYLED_ITEM('',(#19196),#10471)!#10071=STYLED_ITEM('',(#19196),#10472)!#10072=STYLED_ITEM('',(#19196),#10473)!#10073=STYLED_ITEM('',(#19196),#10474)!#10074=STYLED_ITEM('',(#19196),#10475)!#10075=STYLED_ITEM('',(#19196),#10476)!#10076=STYLED_ITEM('',(#19196),#10477)!#10077=STYLED_ITEM('',(#19196),#10478)!#10078=STYLED_ITEM('',(#19196),#10479)!#10079=STYLED_ITEM('',(#19196),#10480)!#10080=STYLED_ITEM('',(#19196),#10481)!#10081=STYLED_ITEM('',(#19196),#10649)!#10082=STYLED_ITEM('',(#19196),#10650)!#10083=STYLED_ITEM('',(#19196),#10651)!#10084=STYLED_ITEM('',(#19196),#10652)!#10085=STYLED_ITEM('',(#19196),#10653)!#10086=STYLED_ITEM('',(#19196),#10654)!#10087=STYLED_ITEM('',(#19196),#10655)!#10088=STYLED_ITEM('',(#19196),#10656)!#10089=STYLED_ITEM('',(#19196),#10657)!#10090=STYLED_ITEM('',(#19196),#10658)!#10091=STYLED_ITEM('',(#19196),#10659)!#10092=STYLED_ITEM('',(#19196),#10660)!#10093=STYLED_ITEM('',(#19196),#10661)!#10094=STYLED_ITEM('',(#19201),#10662)!#10095=STYLED_ITEM('',(#19200),#10663)!#10096=STYLED_ITEM('',(#19200),#10664)!#10097=STYLED_ITEM('',(#19200),#10665)!#10098=STYLED_ITEM('',(#19200),#10666)!#10099=STYLED_ITEM('',(#19200),#10667)!#10100=STYLED_ITEM('',(#19200),#10668)!#10101=STYLED_ITEM('',(#19200),#10669)!#10102=STYLED_ITEM('',(#19200),#10670)!#10103=STYLED_ITEM('',(#19200),#10671)!#10104=STYLED_ITEM('',(#19200),#10672)!#10105=STYLED_ITEM('',(#19200),#10673)!#10106=STYLED_ITEM('',(#19200),#10674)!#10107=STYLED_ITEM('',(#19200),#10675)!#10108=STYLED_ITEM('',(#19200),#10676)!#10109=STYLED_ITEM('',(#19200),#10677)!#10110=STYLED_ITEM('',(#19200),#10678)!#10111=STYLED_ITEM('',(#19200),#10679)!#10112=STYLED_ITEM('',(#19200),#10680)!#10113=STYLED_ITEM('',(#19200),#10681)!#10114=STYLED_ITEM('',(#19200),#10682)!#10115=STYLED_ITEM('',(#19200),#10683)!#10116=STYLED_ITEM('',(#19200),#10684)!#10117=STYLED_ITEM('',(#19200),#10685)!#10118=STYLED_ITEM('',(#19200),#10686)!#10119=STYLED_ITEM('',(#19200),#10687)!#10120=STYLED_ITEM('',(#19200),#10688)!#10121=STYLED_ITEM('',(#19200),#10689)!#10122=STYLED_ITEM('',(#19200),#10690)!#10123=STYLED_ITEM('',(#19200),#10691)!#10124=STYLED_ITEM('',(#19200),#10692)!#10125=STYLED_ITEM('',(#19200),#10693)!#10126=STYLED_ITEM('',(#19200),#10694)!#10127=STYLED_ITEM('',(#19200),#10695)!#10128=STYLED_ITEM('',(#19200),#10696)!#10129=STYLED_ITEM('',(#19200),#10697)!#10130=STYLED_ITEM('',(#19200),#10698)!#10131=STYLED_ITEM('',(#19200),#10699)!#10132=STYLED_ITEM('',(#19200),#10700)!#10133=STYLED_ITEM('',(#19200),#10701)!#10134=STYLED_ITEM('',(#19200),#10702)!#10135=STYLED_ITEM('',(#19200),#10703)!#10136=STYLED_ITEM('',(#19200),#10704)!#10137=STYLED_ITEM('',(#19200),#10705)!#10138=STYLED_ITEM('',(#19200),#10706)!#10139=STYLED_ITEM('',(#19200),#10707)!#10140=STYLED_ITEM('',(#19200),#10708)!#10141=STYLED_ITEM('',(#19200),#10709)!#10142=STYLED_ITEM('',(#19200),#10710)!#10143=STYLED_ITEM('',(#19200),#10711)!#10144=STYLED_ITEM('',(#19200),#10712)!#10145=STYLED_ITEM('',(#19200),#10713)!#10146=STYLED_ITEM('',(#19200),#10714)!#10147=STYLED_ITEM('',(#19200),#10715)!#10148=STYLED_ITEM('',(#19200),#10716)!#10149=STYLED_ITEM('',(#19200),#10717)!#10150=STYLED_ITEM('',(#19200),#10718)!#10151=STYLED_ITEM('',(#19200),#10719)!#10152=STYLED_ITEM('',(#19200),#10720)!#10153=STYLED_ITEM('',(#19200),#10721)!#10154=STYLED_ITEM('',(#19200),#10722)!#10155=STYLED_ITEM('',(#19200),#10723)!#10156=STYLED_ITEM('',(#19200),#10724)!#10157=STYLED_ITEM('',(#19200),#10725)!#10158=STYLED_ITEM('',(#19200),#10726)!#10159=STYLED_ITEM('',(#19200),#10727)!#10160=STYLED_ITEM('',(#19200),#10728)!#10161=STYLED_ITEM('',(#19200),#10729)!#10162=STYLED_ITEM('',(#19200),#10730)!#10163=STYLED_ITEM('',(#19200),#10731)!#10164=STYLED_ITEM('',(#19200),#10732)!#10165=STYLED_ITEM('',(#19200),#10733)!#10166=STYLED_ITEM('',(#19200),#10734)!#10167=STYLED_ITEM('',(#19201),#10744)!#10168=STYLED_ITEM('',(#19201),#10745)!#10169=STYLED_ITEM('',(#19201),#10746)!#10170=STYLED_ITEM('',(#19196),#10762)!#10171=STYLED_ITEM('',(#19196),#10763)!#10172=STYLED_ITEM('',(#19196),#10764)!#10173=STYLED_ITEM('',(#19196),#10765)!#10174=STYLED_ITEM('',(#19196),#10766)!#10175=STYLED_ITEM('',(#19196),#10767)!#10176=STYLED_ITEM('',(#19196),#10768)!#10177=STYLED_ITEM('',(#19196),#10769)!#10178=STYLED_ITEM('',(#19196),#10770)!#10179=STYLED_ITEM('',(#19196),#10771)!#10180=STYLED_ITEM('',(#19196),#10772)!#10181=STYLED_ITEM('',(#19196),#10773)!#10182=STYLED_ITEM('',(#19196),#10774)!#10183=STYLED_ITEM('',(#19196),#10775)!#10184=STYLED_ITEM('',(#19196),#10776)!#10185=STYLED_ITEM('',(#19196),#10777)!#10186=STYLED_ITEM('',(#19196),#10778)!#10187=STYLED_ITEM('',(#19196),#10779)!#10188=STYLED_ITEM('',(#19196),#10780)!#10189=STYLED_ITEM('',(#19196),#10781)!#10190=STYLED_ITEM('',(#19196),#10782)!#10191=STYLED_ITEM('',(#19196),#10783)!#10192=STYLED_ITEM('',(#19196),#10784)!#10193=STYLED_ITEM('',(#19196),#10785)!#10194=STYLED_ITEM('',(#19196),#10786)!#10195=STYLED_ITEM('',(#19196),#10787)!#10196=STYLED_ITEM('',(#19195),#13)!#10197=ADVANCED_FACE('',(#2158),#9750,.T.)!#10198=ADVANCED_FACE('',(#2159),#9751,.T.)!#10199=ADVANCED_FACE('',(#2160),#9752,.T.)!#10200=ADVANCED_FACE('',(#2161),#9753,.T.)!#10201=ADVANCED_FACE('',(#2162),#9754,.T.)!#10202=ADVANCED_FACE('',(#2163),#9755,.T.)!#10203=ADVANCED_FACE('',(#2164),#1837,.T.)!#10204=ADVANCED_FACE('',(#2165),#1838,.T.)!#10205=ADVANCED_FACE('',(#2166),#1839,.T.)!#10206=ADVANCED_FACE('',(#2167),#1840,.T.)!#10207=ADVANCED_FACE('',(#2168),#1841,.T.)!#10208=ADVANCED_FACE('',(#2169),#1842,.T.)!#10209=ADVANCED_FACE('',(#2170),#1843,.F.)!#10210=ADVANCED_FACE('',(#2171),#9756,.T.)!#10211=ADVANCED_FACE('',(#2172),#9757,.T.)!#10212=ADVANCED_FACE('',(#2173),#9758,.T.)!#10213=ADVANCED_FACE('',(#2174),#9759,.T.)!#10214=ADVANCED_FACE('',(#2175),#9760,.T.)!#10215=ADVANCED_FACE('',(#2176),#9761,.T.)!#10216=ADVANCED_FACE('',(#2177),#1844,.T.)!#10217=ADVANCED_FACE('',(#2178),#1845,.T.)!#10218=ADVANCED_FACE('',(#2179),#1846,.T.)!#10219=ADVANCED_FACE('',(#2180),#1847,.T.)!#10220=ADVANCED_FACE('',(#2181),#1848,.T.)!#10221=ADVANCED_FACE('',(#2182),#1849,.T.)!#10222=ADVANCED_FACE('',(#2183),#1850,.F.)!#10223=ADVANCED_FACE('',(#2184),#1851,.F.)!#10224=ADVANCED_FACE('',(#2185),#296,.F.)!#10225=ADVANCED_FACE('',(#2186),#1852,.F.)!#10226=ADVANCED_FACE('',(#2187),#1853,.F.)!#10227=ADVANCED_FACE('',(#2188),#1854,.F.)!#10228=ADVANCED_FACE('',(#2189),#1855,.F.)!#10229=ADVANCED_FACE('',(#2190),#1856,.F.)!#10230=ADVANCED_FACE('',(#2191),#1857,.F.)!#10231=ADVANCED_FACE('',(#2192),#1858,.F.)!#10232=ADVANCED_FACE('',(#2193),#1859,.F.)!#10233=ADVANCED_FACE('',(#2194),#1860,.F.)!#10234=ADVANCED_FACE('',(#2195),#1861,.F.)!#10235=ADVANCED_FACE('',(#2196),#1862,.T.)!#10236=ADVANCED_FACE('',(#2197),#1863,.T.)!#10237=ADVANCED_FACE('',(#2198),#1864,.T.)!#10238=ADVANCED_FACE('',(#2199),#1865,.T.)!#10239=ADVANCED_FACE('',(#2200),#1866,.T.)!#10240=ADVANCED_FACE('',(#2201),#1867,.T.)!#10241=ADVANCED_FACE('',(#2202),#1868,.T.)!#10242=ADVANCED_FACE('',(#2203),#1869,.T.)!#10243=ADVANCED_FACE('',(#2204),#1870,.T.)!#10244=ADVANCED_FACE('',(#2205),#1871,.T.)!#10245=ADVANCED_FACE('',(#2206),#1872,.T.)!#10246=ADVANCED_FACE('',(#2207),#1873,.T.)!#10247=ADVANCED_FACE('',(#2208),#1874,.T.)!#10248=ADVANCED_FACE('',(#2209),#1875,.T.)!#10249=ADVANCED_FACE('',(#2210),#297,.F.)!#10250=ADVANCED_FACE('',(#2211),#298,.F.)!#10251=ADVANCED_FACE('',(#2212),#299,.F.)!#10252=ADVANCED_FACE('',(#2213),#300,.F.)!#10253=ADVANCED_FACE('',(#2214),#301,.F.)!#10254=ADVANCED_FACE('',(#2215),#302,.F.)!#10255=ADVANCED_FACE('',(#2216),#1876,.F.)!#10256=ADVANCED_FACE('',(#2217),#1877,.F.)!#10257=ADVANCED_FACE('',(#2218),#1878,.F.)!#10258=ADVANCED_FACE('',(#2219),#1879,.F.)!#10259=ADVANCED_FACE('',(#2220),#1880,.F.)!#10260=ADVANCED_FACE('',(#2221),#1881,.F.)!#10261=ADVANCED_FACE('',(#2222),#1882,.F.)!#10262=ADVANCED_FACE('',(#2223),#1883,.F.)!#10263=ADVANCED_FACE('',(#2224),#1884,.F.)!#10264=ADVANCED_FACE('',(#2225),#1885,.F.)!#10265=ADVANCED_FACE('',(#2226),#1886,.F.)!#10266=ADVANCED_FACE('',(#2227),#303,.F.)!#10267=ADVANCED_FACE('',(#2228),#304,.F.)!#10268=ADVANCED_FACE('',(#2229),#305,.F.)!#10269=ADVANCED_FACE('',(#2230),#306,.F.)!#10270=ADVANCED_FACE('',(#2231),#1887,.T.)!#10271=ADVANCED_FACE('',(#2232),#307,.F.)!#10272=ADVANCED_FACE('',(#2233),#308,.F.)!#10273=ADVANCED_FACE('',(#2234),#309,.F.)!#10274=ADVANCED_FACE('',(#2235),#310,.F.)!#10275=ADVANCED_FACE('',(#2236),#1888,.F.)!#10276=ADVANCED_FACE('',(#2237),#1889,.F.)!#10277=ADVANCED_FACE('',(#2238),#1890,.F.)!#10278=ADVANCED_FACE('',(#2239),#1891,.F.)!#10279=ADVANCED_FACE('',(#2240),#1892,.F.)!#10280=ADVANCED_FACE('',(#2241),#1893,.F.)!#10281=ADVANCED_FACE('',(#2242),#311,.F.)!#10282=ADVANCED_FACE('',(#2243),#312,.F.)!#10283=ADVANCED_FACE('',(#2244),#313,.F.)!#10284=ADVANCED_FACE('',(#2245),#314,.F.)!#10285=ADVANCED_FACE('',(#2246),#315,.F.)!#10286=ADVANCED_FACE('',(#2247),#316,.F.)!#10287=ADVANCED_FACE('',(#2248),#1894,.F.)!#10288=ADVANCED_FACE('',(#2249),#1895,.F.)!#10289=ADVANCED_FACE('',(#2250),#1896,.F.)!#10290=ADVANCED_FACE('',(#2251),#1897,.F.)!#10291=ADVANCED_FACE('',(#2252),#1898,.F.)!#10292=ADVANCED_FACE('',(#2253),#1899,.F.)!#10293=ADVANCED_FACE('',(#2254),#1900,.F.)!#10294=ADVANCED_FACE('',(#2255),#317,.F.)!#10295=ADVANCED_FACE('',(#2256),#318,.F.)!#10296=ADVANCED_FACE('',(#2257),#319,.F.)!#10297=ADVANCED_FACE('',(#2258),#320,.F.)!#10298=ADVANCED_FACE('',(#2259),#1901,.T.)!#10299=ADVANCED_FACE('',(#2260),#1902,.F.)!#10300=ADVANCED_FACE('',(#2261),#1903,.F.)!#10301=ADVANCED_FACE('',(#2262),#9762,.T.)!#10302=ADVANCED_FACE('',(#2263),#9763,.T.)!#10303=ADVANCED_FACE('',(#2264),#9764,.T.)!#10304=ADVANCED_FACE('',(#2265),#9765,.T.)!#10305=ADVANCED_FACE('',(#2266),#1904,.T.)!#10306=ADVANCED_FACE('',(#2267),#1905,.T.)!#10307=ADVANCED_FACE('',(#2268),#1906,.T.)!#10308=ADVANCED_FACE('',(#2269),#1907,.T.)!#10309=ADVANCED_FACE('',(#2270),#1908,.T.)!#10310=ADVANCED_FACE('',(#2271),#1909,.T.)!#10311=ADVANCED_FACE('',(#2272),#1910,.T.)!#10312=ADVANCED_FACE('',(#2273),#1911,.F.)!#10313=ADVANCED_FACE('',(#2274),#1912,.F.)!#10314=ADVANCED_FACE('',(#2275),#9766,.T.)!#10315=ADVANCED_FACE('',(#2276),#9767,.T.)!#10316=ADVANCED_FACE('',(#2277),#9768,.T.)!#10317=ADVANCED_FACE('',(#2278),#9769,.T.)!#10318=ADVANCED_FACE('',(#2279),#1913,.T.)!#10319=ADVANCED_FACE('',(#2280),#1914,.T.)!#10320=ADVANCED_FACE('',(#2281),#1915,.T.)!#10321=ADVANCED_FACE('',(#2282),#1916,.T.)!#10322=ADVANCED_FACE('',(#2283),#1917,.T.)!#10323=ADVANCED_FACE('',(#2284),#1918,.T.)!#10324=ADVANCED_FACE('',(#2285),#106,.T.)!#10325=ADVANCED_FACE('',(#2286),#102,.T.)!#10326=ADVANCED_FACE('',(#2287),#107,.T.)!#10327=ADVANCED_FACE('',(#2288),#103,.T.)!#10328=ADVANCED_FACE('',(#2289),#1919,.T.)!#10329=ADVANCED_FACE('',(#2290),#1920,.T.)!#10330=ADVANCED_FACE('',(#2291,#49,#50),#1921,.T.)!#10331=ADVANCED_FACE('',(#2292),#1922,.T.)!#10332=ADVANCED_FACE('',(#2293),#1923,.T.)!#10333=ADVANCED_FACE('',(#2294),#1924,.T.)!#10334=ADVANCED_FACE('',(#2295),#1925,.T.)!#10335=ADVANCED_FACE('',(#2296),#1926,.F.)!#10336=ADVANCED_FACE('',(#2297),#1927,.F.)!#10337=ADVANCED_FACE('',(#2298),#9770,.T.)!#10338=ADVANCED_FACE('',(#2299),#9771,.T.)!#10339=ADVANCED_FACE('',(#2300),#9772,.T.)!#10340=ADVANCED_FACE('',(#2301),#9773,.T.)!#10341=ADVANCED_FACE('',(#2302),#1928,.T.)!#10342=ADVANCED_FACE('',(#2303),#1929,.T.)!#10343=ADVANCED_FACE('',(#2304),#1930,.T.)!#10344=ADVANCED_FACE('',(#2305),#1931,.T.)!#10345=ADVANCED_FACE('',(#2306),#1932,.T.)!#10346=ADVANCED_FACE('',(#2307),#1933,.T.)!#10347=ADVANCED_FACE('',(#2308),#1934,.T.)!#10348=ADVANCED_FACE('',(#2309),#1935,.F.)!#10349=ADVANCED_FACE('',(#2310),#1936,.F.)!#10350=ADVANCED_FACE('',(#2311),#9774,.T.)!#10351=ADVANCED_FACE('',(#2312),#9775,.T.)!#10352=ADVANCED_FACE('',(#2313),#9776,.T.)!#10353=ADVANCED_FACE('',(#2314),#9777,.T.)!#10354=ADVANCED_FACE('',(#2315),#1937,.T.)!#10355=ADVANCED_FACE('',(#2316),#1938,.T.)!#10356=ADVANCED_FACE('',(#2317),#1939,.T.)!#10357=ADVANCED_FACE('',(#2318),#1940,.T.)!#10358=ADVANCED_FACE('',(#2319),#1941,.T.)!#10359=ADVANCED_FACE('',(#2320),#1942,.T.)!#10360=ADVANCED_FACE('',(#2321),#108,.T.)!#10361=ADVANCED_FACE('',(#2322),#104,.T.)!#10362=ADVANCED_FACE('',(#2323),#109,.T.)!#10363=ADVANCED_FACE('',(#2324),#105,.T.)!#10364=ADVANCED_FACE('',(#2325),#1943,.T.)!#10365=ADVANCED_FACE('',(#2326),#1944,.T.)!#10366=ADVANCED_FACE('',(#2327,#51,#52),#1945,.T.)!#10367=ADVANCED_FACE('',(#2328),#1946,.T.)!#10368=ADVANCED_FACE('',(#2329),#1947,.T.)!#10369=ADVANCED_FACE('',(#2330),#1948,.T.)!#10370=ADVANCED_FACE('',(#2331),#1949,.T.)!#10371=ADVANCED_FACE('',(#2332),#18,.F.)!#10372=ADVANCED_FACE('',(#2333),#9778,.T.)!#10373=ADVANCED_FACE('',(#2334),#110,.T.)!#10374=ADVANCED_FACE('',(#2335),#19,.T.)!#10375=ADVANCED_FACE('',(#2336),#9779,.F.)!#10376=ADVANCED_FACE('',(#2337,#53),#1950,.T.)!#10377=ADVANCED_FACE('',(#2338),#20,.T.)!#10378=ADVANCED_FACE('',(#2339,#54),#1951,.T.)!#10379=ADVANCED_FACE('',(#2340),#9780,.T.)!#10380=ADVANCED_FACE('',(#2341),#21,.F.)!#10381=ADVANCED_FACE('',(#2342),#111,.T.)!#10382=ADVANCED_FACE('',(#2343),#112,.F.)!#10383=ADVANCED_FACE('',(#2344,#55),#1952,.T.)!#10384=ADVANCED_FACE('',(#2345),#1953,.T.)!#10385=ADVANCED_FACE('',(#2346),#22,.F.)!#10386=ADVANCED_FACE('',(#2347),#9781,.T.)!#10387=ADVANCED_FACE('',(#2348),#113,.T.)!#10388=ADVANCED_FACE('',(#2349),#23,.T.)!#10389=ADVANCED_FACE('',(#2350),#9782,.F.)!#10390=ADVANCED_FACE('',(#2351,#56),#1954,.T.)!#10391=ADVANCED_FACE('',(#2352),#24,.T.)!#10392=ADVANCED_FACE('',(#2353,#57),#1955,.T.)!#10393=ADVANCED_FACE('',(#2354),#9783,.T.)!#10394=ADVANCED_FACE('',(#2355),#25,.F.)!#10395=ADVANCED_FACE('',(#2356),#114,.T.)!#10396=ADVANCED_FACE('',(#2357),#115,.F.)!#10397=ADVANCED_FACE('',(#2358),#116,.T.)!#10398=ADVANCED_FACE('',(#2359),#26,.F.)!#10399=ADVANCED_FACE('',(#2360,#58),#1956,.T.)!#10400=ADVANCED_FACE('',(#2361),#9784,.T.)!#10401=ADVANCED_FACE('',(#2362),#9785,.T.)!#10402=ADVANCED_FACE('',(#2363),#9786,.T.)!#10403=ADVANCED_FACE('',(#2364),#9787,.T.)!#10404=ADVANCED_FACE('',(#2365),#9788,.T.)!#10405=ADVANCED_FACE('',(#2366),#9789,.T.)!#10406=ADVANCED_FACE('',(#2367),#1957,.T.)!#10407=ADVANCED_FACE('',(#2368),#1958,.T.)!#10408=ADVANCED_FACE('',(#2369),#1959,.T.)!#10409=ADVANCED_FACE('',(#2370,#59),#1960,.T.)!#10410=ADVANCED_FACE('',(#2371),#1961,.T.)!#10411=ADVANCED_FACE('',(#2372),#1962,.T.)!#10412=ADVANCED_FACE('',(#2373),#1963,.T.)!#10413=ADVANCED_FACE('',(#2374),#1964,.F.)!#10414=ADVANCED_FACE('',(#2375),#117,.T.)!#10415=ADVANCED_FACE('',(#2376),#118,.T.)!#10416=ADVANCED_FACE('',(#2377),#27,.F.)!#10417=ADVANCED_FACE('',(#2378,#60),#1965,.T.)!#10418=ADVANCED_FACE('',(#2379),#9790,.T.)!#10419=ADVANCED_FACE('',(#2380),#9791,.T.)!#10420=ADVANCED_FACE('',(#2381),#9792,.T.)!#10421=ADVANCED_FACE('',(#2382),#9793,.T.)!#10422=ADVANCED_FACE('',(#2383),#9794,.T.)!#10423=ADVANCED_FACE('',(#2384),#9795,.T.)!#10424=ADVANCED_FACE('',(#2385),#1966,.T.)!#10425=ADVANCED_FACE('',(#2386),#1967,.T.)!#10426=ADVANCED_FACE('',(#2387),#1968,.T.)!#10427=ADVANCED_FACE('',(#2388,#61),#1969,.T.)!#10428=ADVANCED_FACE('',(#2389),#1970,.T.)!#10429=ADVANCED_FACE('',(#2390),#1971,.T.)!#10430=ADVANCED_FACE('',(#2391),#1972,.T.)!#10431=ADVANCED_FACE('',(#2392),#1973,.F.)!#10432=ADVANCED_FACE('',(#2393),#119,.T.)!#10433=ADVANCED_FACE('',(#2394),#120,.T.)!#10434=ADVANCED_FACE('',(#2395),#28,.F.)!#10435=ADVANCED_FACE('',(#2396,#62),#1974,.T.)!#10436=ADVANCED_FACE('',(#2397),#9796,.T.)!#10437=ADVANCED_FACE('',(#2398),#9797,.T.)!#10438=ADVANCED_FACE('',(#2399),#9798,.T.)!#10439=ADVANCED_FACE('',(#2400),#9799,.T.)!#10440=ADVANCED_FACE('',(#2401),#9800,.T.)!#10441=ADVANCED_FACE('',(#2402),#9801,.T.)!#10442=ADVANCED_FACE('',(#2403),#1975,.T.)!#10443=ADVANCED_FACE('',(#2404),#1976,.T.)!#10444=ADVANCED_FACE('',(#2405),#1977,.T.)!#10445=ADVANCED_FACE('',(#2406,#63),#1978,.T.)!#10446=ADVANCED_FACE('',(#2407),#1979,.T.)!#10447=ADVANCED_FACE('',(#2408),#1980,.T.)!#10448=ADVANCED_FACE('',(#2409),#1981,.T.)!#10449=ADVANCED_FACE('',(#2410),#1982,.F.)!#10450=ADVANCED_FACE('',(#2411),#121,.T.)!#10451=ADVANCED_FACE('',(#2412),#122,.T.)!#10452=ADVANCED_FACE('',(#2413),#29,.F.)!#10453=ADVANCED_FACE('',(#2414,#64),#1983,.T.)!#10454=ADVANCED_FACE('',(#2415),#9802,.T.)!#10455=ADVANCED_FACE('',(#2416),#9803,.T.)!#10456=ADVANCED_FACE('',(#2417),#9804,.T.)!#10457=ADVANCED_FACE('',(#2418),#9805,.T.)!#10458=ADVANCED_FACE('',(#2419),#9806,.T.)!#10459=ADVANCED_FACE('',(#2420),#9807,.T.)!#10460=ADVANCED_FACE('',(#2421),#1984,.T.)!#10461=ADVANCED_FACE('',(#2422),#1985,.T.)!#10462=ADVANCED_FACE('',(#2423),#1986,.T.)!#10463=ADVANCED_FACE('',(#2424,#65),#1987,.T.)!#10464=ADVANCED_FACE('',(#2425),#1988,.T.)!#10465=ADVANCED_FACE('',(#2426),#1989,.T.)!#10466=ADVANCED_FACE('',(#2427),#1990,.T.)!#10467=ADVANCED_FACE('',(#2428),#1991,.F.)!#10468=ADVANCED_FACE('',(#2429),#123,.T.)!#10469=ADVANCED_FACE('',(#2430),#9808,.T.)!#10470=ADVANCED_FACE('',(#2431),#9809,.T.)!#10471=ADVANCED_FACE('',(#2432),#9810,.T.)!#10472=ADVANCED_FACE('',(#2433),#9811,.T.)!#10473=ADVANCED_FACE('',(#2434),#9812,.T.)!#10474=ADVANCED_FACE('',(#2435),#9813,.T.)!#10475=ADVANCED_FACE('',(#2436),#1992,.T.)!#10476=ADVANCED_FACE('',(#2437),#1993,.T.)!#10477=ADVANCED_FACE('',(#2438),#1994,.T.)!#10478=ADVANCED_FACE('',(#2439),#1995,.T.)!#10479=ADVANCED_FACE('',(#2440),#1996,.T.)!#10480=ADVANCED_FACE('',(#2441),#1997,.T.)!#10481=ADVANCED_FACE('',(#2442),#1998,.F.)!#10482=ADVANCED_FACE('',(#2443),#1999,.T.)!#10483=ADVANCED_FACE('',(#2444,#66),#2000,.T.)!#10484=ADVANCED_FACE('',(#2445),#2001,.T.)!#10485=ADVANCED_FACE('',(#2446),#2002,.T.)!#10486=ADVANCED_FACE('',(#2447),#124,.T.)!#10487=ADVANCED_FACE('',(#2448),#2003,.T.)!#10488=ADVANCED_FACE('',(#2449),#2004,.T.)!#10489=ADVANCED_FACE('',(#2450),#2005,.T.)!#10490=ADVANCED_FACE('',(#2451),#2006,.T.)!#10491=ADVANCED_FACE('',(#2452),#2007,.T.)!#10492=ADVANCED_FACE('',(#2453),#2008,.T.)!#10493=ADVANCED_FACE('',(#2454),#2009,.T.)!#10494=ADVANCED_FACE('',(#2455),#2010,.T.)!#10495=ADVANCED_FACE('',(#2456),#2011,.T.)!#10496=ADVANCED_FACE('',(#2457),#2012,.F.)!#10497=ADVANCED_FACE('',(#2458),#2013,.T.)!#10498=ADVANCED_FACE('',(#2459),#2014,.T.)!#10499=ADVANCED_FACE('',(#2460),#2015,.T.)!#10500=ADVANCED_FACE('',(#2461),#2016,.T.)!#10501=ADVANCED_FACE('',(#2462),#2017,.T.)!#10502=ADVANCED_FACE('',(#2463),#2018,.T.)!#10503=ADVANCED_FACE('',(#2464),#2019,.T.)!#10504=ADVANCED_FACE('',(#2465),#125,.F.)!#10505=ADVANCED_FACE('',(#2466),#126,.F.)!#10506=ADVANCED_FACE('',(#2467),#127,.F.)!#10507=ADVANCED_FACE('',(#2468),#2020,.F.)!#10508=ADVANCED_FACE('',(#2469),#2021,.F.)!#10509=ADVANCED_FACE('',(#2470),#128,.T.)!#10510=ADVANCED_FACE('',(#2471),#2022,.T.)!#10511=ADVANCED_FACE('',(#2472),#2023,.F.)!#10512=ADVANCED_FACE('',(#2473),#2024,.T.)!#10513=ADVANCED_FACE('',(#2474),#129,.F.)!#10514=ADVANCED_FACE('',(#2475),#2025,.T.)!#10515=ADVANCED_FACE('',(#2476),#2026,.T.)!#10516=ADVANCED_FACE('',(#2477),#2027,.F.)!#10517=ADVANCED_FACE('',(#2478),#2028,.T.)!#10518=ADVANCED_FACE('',(#2479),#2029,.T.)!#10519=ADVANCED_FACE('',(#2480,#67),#130,.T.)!#10520=ADVANCED_FACE('',(#2481),#2030,.T.)!#10521=ADVANCED_FACE('',(#2482),#131,.T.)!#10522=ADVANCED_FACE('',(#2483),#132,.T.)!#10523=ADVANCED_FACE('',(#2484),#133,.T.)!#10524=ADVANCED_FACE('',(#2485),#134,.F.)!#10525=ADVANCED_FACE('',(#2486),#2031,.T.)!#10526=ADVANCED_FACE('',(#2487,#68),#2032,.F.)!#10527=ADVANCED_FACE('',(#2488),#2033,.F.)!#10528=ADVANCED_FACE('',(#2489),#2034,.T.)!#10529=ADVANCED_FACE('',(#2490),#2035,.T.)!#10530=ADVANCED_FACE('',(#2491),#2036,.T.)!#10531=ADVANCED_FACE('',(#2492),#135,.T.)!#10532=ADVANCED_FACE('',(#2493),#2037,.T.)!#10533=ADVANCED_FACE('',(#2494),#2038,.T.)!#10534=ADVANCED_FACE('',(#2495),#136,.T.)!#10535=ADVANCED_FACE('',(#2496),#137,.F.)!#10536=ADVANCED_FACE('',(#2497),#2039,.F.)!#10537=ADVANCED_FACE('',(#2498),#2040,.F.)!#10538=ADVANCED_FACE('',(#2499),#30,.T.)!#10539=ADVANCED_FACE('',(#2500),#9814,.T.)!#10540=ADVANCED_FACE('',(#2501),#9815,.T.)!#10541=ADVANCED_FACE('',(#2502),#31,.T.)!#10542=ADVANCED_FACE('',(#2503),#2041,.F.)!#10543=ADVANCED_FACE('',(#2504),#9816,.T.)!#10544=ADVANCED_FACE('',(#2505),#2042,.F.)!#10545=ADVANCED_FACE('',(#2506),#138,.F.)!#10546=ADVANCED_FACE('',(#2507),#9817,.T.)!#10547=ADVANCED_FACE('',(#2508),#32,.T.)!#10548=ADVANCED_FACE('',(#2509),#33,.T.)!#10549=ADVANCED_FACE('',(#2510),#139,.T.)!#10550=ADVANCED_FACE('',(#2511),#140,.T.)!#10551=ADVANCED_FACE('',(#2512),#34,.F.)!#10552=ADVANCED_FACE('',(#2513),#9818,.T.)!#10553=ADVANCED_FACE('',(#2514),#2043,.T.)!#10554=ADVANCED_FACE('',(#2515),#141,.T.)!#10555=ADVANCED_FACE('',(#2516),#2044,.T.)!#10556=ADVANCED_FACE('',(#2517),#35,.T.)!#10557=ADVANCED_FACE('',(#2518,#69),#2045,.T.)!#10558=ADVANCED_FACE('',(#2519),#9819,.T.)!#10559=ADVANCED_FACE('',(#2520),#142,.T.)!#10560=ADVANCED_FACE('',(#2521),#2046,.T.)!#10561=ADVANCED_FACE('',(#2522),#143,.T.)!#10562=ADVANCED_FACE('',(#2523),#36,.F.)!#10563=ADVANCED_FACE('',(#2524,#70),#2047,.T.)!#10564=ADVANCED_FACE('',(#2525),#37,.F.)!#10565=ADVANCED_FACE('',(#2526),#9820,.F.)!#10566=ADVANCED_FACE('',(#2527),#38,.T.)!#10567=ADVANCED_FACE('',(#2528,#71),#2048,.T.)!#10568=ADVANCED_FACE('',(#2529),#9821,.T.)!#10569=ADVANCED_FACE('',(#2530),#9822,.T.)!#10570=ADVANCED_FACE('',(#2531),#144,.T.)!#10571=ADVANCED_FACE('',(#2532),#39,.F.)!#10572=ADVANCED_FACE('',(#2533),#9823,.T.)!#10573=ADVANCED_FACE('',(#2534),#40,.F.)!#10574=ADVANCED_FACE('',(#2535),#145,.T.)!#10575=ADVANCED_FACE('',(#2536),#41,.F.)!#10576=ADVANCED_FACE('',(#2537),#2049,.T.)!#10577=ADVANCED_FACE('',(#2538),#2050,.T.)!#10578=ADVANCED_FACE('',(#2539),#146,.T.)!#10579=ADVANCED_FACE('',(#2540),#147,.T.)!#10580=ADVANCED_FACE('',(#2541),#148,.T.)!#10581=ADVANCED_FACE('',(#2542),#149,.T.)!#10582=ADVANCED_FACE('',(#2543),#9824,.T.)!#10583=ADVANCED_FACE('',(#2544),#42,.T.)!#10584=ADVANCED_FACE('',(#2545),#43,.T.)!#10585=ADVANCED_FACE('',(#2546),#9825,.T.)!#10586=ADVANCED_FACE('',(#2547),#9826,.T.)!#10587=ADVANCED_FACE('',(#2548),#2051,.F.)!#10588=ADVANCED_FACE('',(#2549),#2052,.F.)!#10589=ADVANCED_FACE('',(#2550),#150,.F.)!#10590=ADVANCED_FACE('',(#2551),#151,.F.)!#10591=ADVANCED_FACE('',(#2552),#44,.T.)!#10592=ADVANCED_FACE('',(#2553),#9827,.T.)!#10593=ADVANCED_FACE('',(#2554),#9828,.T.)!#10594=ADVANCED_FACE('',(#2555),#45,.T.)!#10595=ADVANCED_FACE('',(#2556),#2053,.F.)!#10596=ADVANCED_FACE('',(#2557),#152,.F.)!#10597=ADVANCED_FACE('',(#2558),#153,.F.)!#10598=ADVANCED_FACE('',(#2559),#2054,.F.)!#10599=ADVANCED_FACE('',(#2560),#154,.T.)!#10600=ADVANCED_FACE('',(#2561),#2055,.T.)!#10601=ADVANCED_FACE('',(#2562),#2056,.T.)!#10602=ADVANCED_FACE('',(#2563),#155,.T.)!#10603=ADVANCED_FACE('',(#2564),#2057,.T.)!#10604=ADVANCED_FACE('',(#2565),#2058,.T.)!#10605=ADVANCED_FACE('',(#2566),#2059,.T.)!#10606=ADVANCED_FACE('',(#2567),#2060,.F.)!#10607=ADVANCED_FACE('',(#2568,#72),#2061,.F.)!#10608=ADVANCED_FACE('',(#2569),#2062,.T.)!#10609=ADVANCED_FACE('',(#2570),#156,.F.)!#10610=ADVANCED_FACE('',(#2571),#157,.T.)!#10611=ADVANCED_FACE('',(#2572),#158,.T.)!#10612=ADVANCED_FACE('',(#2573),#159,.T.)!#10613=ADVANCED_FACE('',(#2574),#2063,.T.)!#10614=ADVANCED_FACE('',(#2575,#73),#160,.T.)!#10615=ADVANCED_FACE('',(#2576),#2064,.T.)!#10616=ADVANCED_FACE('',(#2577),#2065,.T.)!#10617=ADVANCED_FACE('',(#2578),#2066,.F.)!#10618=ADVANCED_FACE('',(#2579),#2067,.T.)!#10619=ADVANCED_FACE('',(#2580),#2068,.T.)!#10620=ADVANCED_FACE('',(#2581),#161,.F.)!#10621=ADVANCED_FACE('',(#2582),#2069,.T.)!#10622=ADVANCED_FACE('',(#2583),#2070,.F.)!#10623=ADVANCED_FACE('',(#2584),#2071,.T.)!#10624=ADVANCED_FACE('',(#2585),#162,.T.)!#10625=ADVANCED_FACE('',(#2586),#2072,.F.)!#10626=ADVANCED_FACE('',(#2587),#2073,.F.)!#10627=ADVANCED_FACE('',(#2588),#163,.F.)!#10628=ADVANCED_FACE('',(#2589),#164,.F.)!#10629=ADVANCED_FACE('',(#2590),#165,.F.)!#10630=ADVANCED_FACE('',(#2591),#2074,.T.)!#10631=ADVANCED_FACE('',(#2592),#2075,.T.)!#10632=ADVANCED_FACE('',(#2593),#2076,.T.)!#10633=ADVANCED_FACE('',(#2594),#2077,.T.)!#10634=ADVANCED_FACE('',(#2595),#2078,.T.)!#10635=ADVANCED_FACE('',(#2596),#2079,.F.)!#10636=ADVANCED_FACE('',(#2597),#2080,.F.)!#10637=ADVANCED_FACE('',(#2598),#2081,.T.)!#10638=ADVANCED_FACE('',(#2599),#2082,.T.)!#10639=ADVANCED_FACE('',(#2600),#2083,.T.)!#10640=ADVANCED_FACE('',(#2601),#2084,.T.)!#10641=ADVANCED_FACE('',(#2602),#2085,.T.)!#10642=ADVANCED_FACE('',(#2603),#2086,.T.)!#10643=ADVANCED_FACE('',(#2604),#2087,.T.)!#10644=ADVANCED_FACE('',(#2605),#2088,.T.)!#10645=ADVANCED_FACE('',(#2606),#2089,.T.)!#10646=ADVANCED_FACE('',(#2607),#2090,.T.)!#10647=ADVANCED_FACE('',(#2608,#74),#2091,.T.)!#10648=ADVANCED_FACE('',(#2609),#166,.T.)!#10649=ADVANCED_FACE('',(#2610),#2092,.F.)!#10650=ADVANCED_FACE('',(#2611),#2093,.T.)!#10651=ADVANCED_FACE('',(#2612),#2094,.T.)!#10652=ADVANCED_FACE('',(#2613),#2095,.T.)!#10653=ADVANCED_FACE('',(#2614),#2096,.T.)!#10654=ADVANCED_FACE('',(#2615),#2097,.T.)!#10655=ADVANCED_FACE('',(#2616),#2098,.T.)!#10656=ADVANCED_FACE('',(#2617),#9829,.T.)!#10657=ADVANCED_FACE('',(#2618),#9830,.T.)!#10658=ADVANCED_FACE('',(#2619),#9831,.T.)!#10659=ADVANCED_FACE('',(#2620),#9832,.T.)!#10660=ADVANCED_FACE('',(#2621),#9833,.T.)!#10661=ADVANCED_FACE('',(#2622),#9834,.T.)!#10662=ADVANCED_FACE('',(#2623,#75),#2099,.T.)!#10663=ADVANCED_FACE('',(#2624),#167,.T.)!#10664=ADVANCED_FACE('',(#2625,#76),#2100,.T.)!#10665=ADVANCED_FACE('',(#2626,#77,#78),#168,.T.)!#10666=ADVANCED_FACE('',(#2627,#79),#2101,.T.)!#10667=ADVANCED_FACE('',(#2628),#2102,.T.)!#10668=ADVANCED_FACE('',(#2629),#2103,.T.)!#10669=ADVANCED_FACE('',(#2630),#169,.F.)!#10670=ADVANCED_FACE('',(#2631),#2104,.T.)!#10671=ADVANCED_FACE('',(#2632),#170,.T.)!#10672=ADVANCED_FACE('',(#2633),#2105,.T.)!#10673=ADVANCED_FACE('',(#2634),#171,.F.)!#10674=ADVANCED_FACE('',(#2635,#80,#81,#82),#2106,.T.)!#10675=ADVANCED_FACE('',(#2636),#2107,.T.)!#10676=ADVANCED_FACE('',(#2637),#172,.T.)!#10677=ADVANCED_FACE('',(#2638),#2108,.T.)!#10678=ADVANCED_FACE('',(#2639),#173,.F.)!#10679=ADVANCED_FACE('',(#2640),#2109,.T.)!#10680=ADVANCED_FACE('',(#2641),#174,.F.)!#10681=ADVANCED_FACE('',(#2642),#2110,.T.)!#10682=ADVANCED_FACE('',(#2643),#175,.T.)!#10683=ADVANCED_FACE('',(#2644),#176,.T.)!#10684=ADVANCED_FACE('',(#2645),#2111,.T.)!#10685=ADVANCED_FACE('',(#2646),#2112,.T.)!#10686=ADVANCED_FACE('',(#2647),#177,.T.)!#10687=ADVANCED_FACE('',(#2648),#2113,.T.)!#10688=ADVANCED_FACE('',(#2649),#2114,.T.)!#10689=ADVANCED_FACE('',(#2650),#178,.T.)!#10690=ADVANCED_FACE('',(#2651),#2115,.T.)!#10691=ADVANCED_FACE('',(#2652,#83),#2116,.T.)!#10692=ADVANCED_FACE('',(#2653),#179,.T.)!#10693=ADVANCED_FACE('',(#2654,#84),#2117,.T.)!#10694=ADVANCED_FACE('',(#2655),#180,.T.)!#10695=ADVANCED_FACE('',(#2656),#9835,.T.)!#10696=ADVANCED_FACE('',(#2657),#46,.T.)!#10697=ADVANCED_FACE('',(#2658),#181,.F.)!#10698=ADVANCED_FACE('',(#2659),#182,.F.)!#10699=ADVANCED_FACE('',(#2660),#183,.T.)!#10700=ADVANCED_FACE('',(#2661),#2118,.T.)!#10701=ADVANCED_FACE('',(#2662),#47,.T.)!#10702=ADVANCED_FACE('',(#2663),#184,.T.)!#10703=ADVANCED_FACE('',(#2664,#85,#86,#87),#2119,.T.)!#10704=ADVANCED_FACE('',(#2665),#185,.T.)!#10705=ADVANCED_FACE('',(#2666),#186,.T.)!#10706=ADVANCED_FACE('',(#2667),#187,.T.)!#10707=ADVANCED_FACE('',(#2668),#2120,.T.)!#10708=ADVANCED_FACE('',(#2669),#2121,.T.)!#10709=ADVANCED_FACE('',(#2670),#188,.T.)!#10710=ADVANCED_FACE('',(#2671),#2122,.F.)!#10711=ADVANCED_FACE('',(#2672),#2123,.T.)!#10712=ADVANCED_FACE('',(#2673),#189,.T.)!#10713=ADVANCED_FACE('',(#2674,#88,#89,#90,#91),#2124,.T.)!#10714=ADVANCED_FACE('',(#2675),#2125,.F.)!#10715=ADVANCED_FACE('',(#2676),#2126,.T.)!#10716=ADVANCED_FACE('',(#2677),#2127,.T.)!#10717=ADVANCED_FACE('',(#2678),#190,.T.)!#10718=ADVANCED_FACE('',(#2679),#191,.T.)!#10719=ADVANCED_FACE('',(#2680),#192,.T.)!#10720=ADVANCED_FACE('',(#2681),#193,.T.)!#10721=ADVANCED_FACE('',(#2682),#194,.T.)!#10722=ADVANCED_FACE('',(#2683),#195,.T.)!#10723=ADVANCED_FACE('',(#2684),#14,.F.)!#10724=ADVANCED_FACE('',(#2685),#15,.F.)!#10725=ADVANCED_FACE('',(#2686),#196,.T.)!#10726=ADVANCED_FACE('',(#2687),#197,.T.)!#10727=ADVANCED_FACE('',(#2688),#16,.F.)!#10728=ADVANCED_FACE('',(#2689),#17,.F.)!#10729=ADVANCED_FACE('',(#2690),#198,.T.)!#10730=ADVANCED_FACE('',(#2691),#2128,.T.)!#10731=ADVANCED_FACE('',(#2692),#199,.T.)!#10732=ADVANCED_FACE('',(#2693),#200,.T.)!#10733=ADVANCED_FACE('',(#2694),#2129,.T.)!#10734=ADVANCED_FACE('',(#2695),#201,.T.)!#10735=ADVANCED_FACE('',(#2696),#9836,.T.)!#10736=ADVANCED_FACE('',(#2697),#48,.F.)!#10737=ADVANCED_FACE('',(#2698),#202,.T.)!#10738=ADVANCED_FACE('',(#2699,#92),#2130,.T.)!#10739=ADVANCED_FACE('',(#2700),#203,.T.)!#10740=ADVANCED_FACE('',(#2701),#2131,.T.)!#10741=ADVANCED_FACE('',(#2702),#2132,.T.)!#10742=ADVANCED_FACE('',(#2703),#2133,.T.)!#10743=ADVANCED_FACE('',(#2704),#2134,.T.)!#10744=ADVANCED_FACE('',(#2705,#93),#2135,.T.)!#10745=ADVANCED_FACE('',(#2706,#94),#2136,.T.)!#10746=ADVANCED_FACE('',(#2707,#95),#2137,.T.)!#10747=ADVANCED_FACE('',(#2708),#204,.T.)!#10748=ADVANCED_FACE('',(#2709),#205,.F.)!#10749=ADVANCED_FACE('',(#2710,#96),#2138,.T.)!#10750=ADVANCED_FACE('',(#2711),#206,.F.)!#10751=ADVANCED_FACE('',(#2712,#97),#2139,.T.)!#10752=ADVANCED_FACE('',(#2713),#207,.F.)!#10753=ADVANCED_FACE('',(#2714,#98),#2140,.T.)!#10754=ADVANCED_FACE('',(#2715),#208,.F.)!#10755=ADVANCED_FACE('',(#2716,#99),#2141,.T.)!#10756=ADVANCED_FACE('',(#2717,#100),#2142,.T.)!#10757=ADVANCED_FACE('',(#2718,#101),#2143,.T.)!#10758=ADVANCED_FACE('',(#2719),#209,.F.)!#10759=ADVANCED_FACE('',(#2720),#210,.F.)!#10760=ADVANCED_FACE('',(#2721),#211,.F.)!#10761=ADVANCED_FACE('',(#2722),#212,.F.)!#10762=ADVANCED_FACE('',(#2723),#2144,.F.)!#10763=ADVANCED_FACE('',(#2724),#2145,.T.)!#10764=ADVANCED_FACE('',(#2725),#2146,.T.)!#10765=ADVANCED_FACE('',(#2726),#2147,.T.)!#10766=ADVANCED_FACE('',(#2727),#2148,.T.)!#10767=ADVANCED_FACE('',(#2728),#2149,.T.)!#10768=ADVANCED_FACE('',(#2729),#2150,.T.)!#10769=ADVANCED_FACE('',(#2730),#9837,.T.)!#10770=ADVANCED_FACE('',(#2731),#9838,.T.)!#10771=ADVANCED_FACE('',(#2732),#9839,.T.)!#10772=ADVANCED_FACE('',(#2733),#9840,.T.)!#10773=ADVANCED_FACE('',(#2734),#9841,.T.)!#10774=ADVANCED_FACE('',(#2735),#9842,.T.)!#10775=ADVANCED_FACE('',(#2736),#2151,.F.)!#10776=ADVANCED_FACE('',(#2737),#2152,.T.)!#10777=ADVANCED_FACE('',(#2738),#2153,.T.)!#10778=ADVANCED_FACE('',(#2739),#2154,.T.)!#10779=ADVANCED_FACE('',(#2740),#2155,.T.)!#10780=ADVANCED_FACE('',(#2741),#2156,.T.)!#10781=ADVANCED_FACE('',(#2742),#2157,.T.)!#10782=ADVANCED_FACE('',(#2743),#9843,.T.)!#10783=ADVANCED_FACE('',(#2744),#9844,.T.)!#10784=ADVANCED_FACE('',(#2745),#9845,.T.)!#10785=ADVANCED_FACE('',(#2746),#9846,.T.)!#10786=ADVANCED_FACE('',(#2747),#9847,.T.)!#10787=ADVANCED_FACE('',(#2748),#9848,.T.)!#10788=CLOSED_SHELL('',(#10197,#10198,#10199,#10200,#10201,#10202,#10203, #10204,#10205,#10206,#10207,#10208,#10209,#10210,#10211,#10212,#10213,#10214, #10215,#10216,#10217,#10218,#10219,#10220,#10221,#10222,#10223,#10224,#10225, #10226,#10227,#10228,#10229,#10230,#10231,#10232,#10233,#10234,#10235,#10236, #10237,#10238,#10239,#10240,#10241,#10242,#10243,#10244,#10245,#10246,#10247, #10248,#10249,#10250,#10251,#10252,#10253,#10254,#10255,#10256,#10257,#10258, #10259,#10260,#10261,#10262,#10263,#10264,#10265,#10266,#10267,#10268,#10269, #10270,#10271,#10272,#10273,#10274,#10275,#10276,#10277,#10278,#10279,#10280, #10281,#10282,#10283,#10284,#10285,#10286,#10287,#10288,#10289,#10290,#10291, #10292,#10293,#10294,#10295,#10296,#10297,#10298,#10299,#10300,#10301,#10302, #10303,#10304,#10305,#10306,#10307,#10308,#10309,#10310,#10311,#10312,#10313, #10314,#10315,#10316,#10317,#10318,#10319,#10320,#10321,#10322,#10323,#10324, #10325,#10326,#10327,#10328,#10329,#10330,#10331,#10332,#10333,#10334,#10335, #10336,#10337,#10338,#10339,#10340,#10341,#10342,#10343,#10344,#10345,#10346, #10347,#10348,#10349,#10350,#10351,#10352,#10353,#10354,#10355,#10356,#10357, #10358,#10359,#10360,#10361,#10362,#10363,#10364,#10365,#10366,#10367,#10368, #10369,#10370,#10371,#10372,#10373,#10374,#10375,#10376,#10377,#10378,#10379, #10380,#10381,#10382,#10383,#10384,#10385,#10386,#10387,#10388,#10389,#10390, #10391,#10392,#10393,#10394,#10395,#10396,#10397,#10398,#10399,#10400,#10401, #10402,#10403,#10404,#10405,#10406,#10407,#10408,#10409,#10410,#10411,#10412, #10413,#10414,#10415,#10416,#10417,#10418,#10419,#10420,#10421,#10422,#10423, #10424,#10425,#10426,#10427,#10428,#10429,#10430,#10431,#10432,#10433,#10434, #10435,#10436,#10437,#10438,#10439,#10440,#10441,#10442,#10443,#10444,#10445, #10446,#10447,#10448,#10449,#10450,#10451,#10452,#10453,#10454,#10455,#10456, #10457,#10458,#10459,#10460,#10461,#10462,#10463,#10464,#10465,#10466,#10467, #10468,#10469,#10470,#10471,#10472,#10473,#10474,#10475,#10476,#10477,#10478, #10479,#10480,#10481,#10482,#10483,#10484,#10485,#10486,#10487,#10488,#10489, #10490,#10491,#10492,#10493,#10494,#10495,#10496,#10497,#10498,#10499,#10500, #10501,#10502,#10503,#10504,#10505,#10506,#10507,#10508,#10509,#10510,#10511, #10512,#10513,#10514,#10515,#10516,#10517,#10518,#10519,#10520,#10521,#10522, #10523,#10524,#10525,#10526,#10527,#10528,#10529,#10530,#10531,#10532,#10533, #10534,#10535,#10536,#10537,#10538,#10539,#10540,#10541,#10542,#10543,#10544, #10545,#10546,#10547,#10548,#10549,#10550,#10551,#10552,#10553,#10554,#10555, #10556,#10557,#10558,#10559,#10560,#10561,#10562,#10563,#10564,#10565,#10566, #10567,#10568,#10569,#10570,#10571,#10572,#10573,#10574,#10575,#10576,#10577, #10578,#10579,#10580,#10581,#10582,#10583,#10584,#10585,#10586,#10587,#10588, #10589,#10590,#10591,#10592,#10593,#10594,#10595,#10596,#10597,#10598,#10599, #10600,#10601,#10602,#10603,#10604,#10605,#10606,#10607,#10608,#10609,#10610, #10611,#10612,#10613,#10614,#10615,#10616,#10617,#10618,#10619,#10620,#10621, #10622,#10623,#10624,#10625,#10626,#10627,#10628,#10629,#10630,#10631,#10632, #10633,#10634,#10635,#10636,#10637,#10638,#10639,#10640,#10641,#10642,#10643, #10644,#10645,#10646,#10647,#10648,#10649,#10650,#10651,#10652,#10653,#10654, #10655,#10656,#10657,#10658,#10659,#10660,#10661,#10662,#10663,#10664,#10665, #10666,#10667,#10668,#10669,#10670,#10671,#10672,#10673,#10674,#10675,#10676, #10677,#10678,#10679,#10680,#10681,#10682,#10683,#10684,#10685,#10686,#10687, #10688,#10689,#10690,#10691,#10692,#10693,#10694,#10695,#10696,#10697,#10698, #10699,#10700,#10701,#10702,#10703,#10704,#10705,#10706,#10707,#10708,#10709, #10710,#10711,#10712,#10713,#10714,#10715,#10716,#10717,#10718,#10719,#10720, #10721,#10722,#10723,#10724,#10725,#10726,#10727,#10728,#10729,#10730,#10731, #10732,#10733,#10734,#10735,#10736,#10737,#10738,#10739,#10740,#10741,#10742, #10743,#10744,#10745,#10746,#10747,#10748,#10749,#10750,#10751,#10752,#10753, #10754,#10755,#10756,#10757,#10758,#10759,#10760,#10761,#10762,#10763,#10764, #10765,#10766,#10767,#10768,#10769,#10770,#10771,#10772,#10773,#10774,#10775, #10776,#10777,#10778,#10779,#10780,#10781,#10782,#10783,#10784,#10785,#10786, #10787))!#10789=DERIVED_UNIT_ELEMENT(#10791,1.)!#10790=DERIVED_UNIT_ELEMENT(#19180,3.)!#10791=(MSS_UNIT(*)SI_UNIT($.GARM.))#10792=DERIVED_UNIT((#10789,#10790))!#10793=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#10792)!#10794=PROPERTY_DEFINITION_REPRESENTATION(#10799,#10796)!#10795=PROPERTY_DEFINITION_REPRESENTATION(#10800,#10797)!#10796=REPRESENTATION('material name',(#10798),#19175)!#10797=REPRESENTATION('density',(#10793),#19175)!#10798=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic')!#10799=PROPERTY_DEFINITION('material property','material name',#19188)!#10800=PROPERTY_DEFINITION('material property','density of part',#19188)!#10801=DATE_TIME_ROLE('creation_date')!#10802=APPLIED_DATE_AND_TIME_ASSIGNMENT(#10803,#10801,(#19188))!#10803=DATE_AND_TIME(#10804,#10805)!#10804=CALENDAR_DATE(2020,11,9)!#10805=LOCAL_TIME(17,1,8.,#10806)!#10806=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.)!#10807=AXIS2_PLACEMENT_3D('placement',#14847,#11901,#11902)!#10808=AXIS2_PLACEMENT_3D('',#14848,#11903,#11904)!#10809=AXIS2_PLACEMENT_3D('',#14855,#11905,#11906)!#10810=AXIS2_PLACEMENT_3D('',#14859,#11907,#11908)!#10811=AXIS2_PLACEMENT_3D('',#14868,#11909,#11910)!#10812=AXIS2_PLACEMENT_3D('',#14869,#11911,#11912)!#10813=AXIS2_PLACEMENT_3D('',#14875,#11913,#11914)!#10814=AXIS2_PLACEMENT_3D('',#14879,#11915,#11916)!#10815=AXIS2_PLACEMENT_3D('',#14888,#11917,#11918)!#10816=AXIS2_PLACEMENT_3D('',#14889,#11919,#11920)!#10817=AXIS2_PLACEMENT_3D('',#14895,#11921,#11922)!#10818=AXIS2_PLACEMENT_3D('',#14899,#11923,#11924)!#10819=AXIS2_PLACEMENT_3D('',#14907,#11925,#11926)!#10820=AXIS2_PLACEMENT_3D('',#14908,#11927,#11928)!#10821=AXIS2_PLACEMENT_3D('',#14914,#11932,#11933)!#10822=AXIS2_PLACEMENT_3D('',#14918,#11936,#11937)!#10823=AXIS2_PLACEMENT_3D('',#14922,#11940,#11941)!#10824=AXIS2_PLACEMENT_3D('',#14926,#11944,#11945)!#10825=AXIS2_PLACEMENT_3D('',#14930,#11948,#11949)!#10826=AXIS2_PLACEMENT_3D('',#14932,#11951,#11952)!#10827=AXIS2_PLACEMENT_3D('',#14933,#11953,#11954)!#10828=AXIS2_PLACEMENT_3D('',#14940,#11955,#11956)!#10829=AXIS2_PLACEMENT_3D('',#14944,#11957,#11958)!#10830=AXIS2_PLACEMENT_3D('',#14953,#11959,#11960)!#10831=AXIS2_PLACEMENT_3D('',#14954,#11961,#11962)!#10832=AXIS2_PLACEMENT_3D('',#14960,#11963,#11964)!#10833=AXIS2_PLACEMENT_3D('',#14964,#11965,#11966)!#10834=AXIS2_PLACEMENT_3D('',#14973,#11967,#11968)!#10835=AXIS2_PLACEMENT_3D('',#14974,#11969,#11970)!#10836=AXIS2_PLACEMENT_3D('',#14980,#11971,#11972)!#10837=AXIS2_PLACEMENT_3D('',#14984,#11973,#11974)!#10838=AXIS2_PLACEMENT_3D('',#14992,#11975,#11976)!#10839=AXIS2_PLACEMENT_3D('',#14993,#11977,#11978)!#10840=AXIS2_PLACEMENT_3D('',#14999,#11982,#11983)!#10841=AXIS2_PLACEMENT_3D('',#15003,#11986,#11987)!#10842=AXIS2_PLACEMENT_3D('',#15007,#11990,#11991)!#10843=AXIS2_PLACEMENT_3D('',#15011,#11994,#11995)!#10844=AXIS2_PLACEMENT_3D('',#15015,#11998,#11999)!#10845=AXIS2_PLACEMENT_3D('',#15017,#12001,#12002)!#10846=AXIS2_PLACEMENT_3D('',#15018,#12003,#12004)!#10847=AXIS2_PLACEMENT_3D('',#15042,#12010,#12011)!#10848=AXIS2_PLACEMENT_3D('',#15048,#12015,#12016)!#10849=AXIS2_PLACEMENT_3D('',#15054,#12020,#12021)!#10850=AXIS2_PLACEMENT_3D('',#15060,#12025,#12026)!#10851=AXIS2_PLACEMENT_3D('',#15066,#12030,#12031)!#10852=AXIS2_PLACEMENT_3D('',#15072,#12035,#12036)!#10853=AXIS2_PLACEMENT_3D('',#15078,#12040,#12041)!#10854=AXIS2_PLACEMENT_3D('',#15084,#12045,#12046)!#10855=AXIS2_PLACEMENT_3D('',#15090,#12050,#12051)!#10856=AXIS2_PLACEMENT_3D('',#15096,#12055,#12056)!#10857=AXIS2_PLACEMENT_3D('',#15099,#12059,#12060)!#10858=AXIS2_PLACEMENT_3D('',#15100,#12061,#12062)!#10859=AXIS2_PLACEMENT_3D('',#15109,#12067,#12068)!#10860=AXIS2_PLACEMENT_3D('',#15113,#12072,#12073)!#10861=AXIS2_PLACEMENT_3D('',#15119,#12077,#12078)!#10862=AXIS2_PLACEMENT_3D('',#15125,#12082,#12083)!#10863=AXIS2_PLACEMENT_3D('',#15131,#12087,#12088)!#10864=AXIS2_PLACEMENT_3D('',#15137,#12092,#12093)!#10865=AXIS2_PLACEMENT_3D('',#15143,#12097,#12098)!#10866=AXIS2_PLACEMENT_3D('',#15149,#12102,#12103)!#10867=AXIS2_PLACEMENT_3D('',#15155,#12107,#12108)!#10868=AXIS2_PLACEMENT_3D('',#15161,#12112,#12113)!#10869=AXIS2_PLACEMENT_3D('',#15164,#12116,#12117)!#10870=AXIS2_PLACEMENT_3D('',#15165,#12118,#12119)!#10871=AXIS2_PLACEMENT_3D('',#15245,#12123,#12124)!#10872=AXIS2_PLACEMENT_3D('',#15262,#12127,#12128)!#10873=AXIS2_PLACEMENT_3D('',#15278,#12130,#12131)!#10874=AXIS2_PLACEMENT_3D('',#15298,#12133,#12134)!#10875=AXIS2_PLACEMENT_3D('',#15304,#12138,#12139)!#10876=AXIS2_PLACEMENT_3D('',#15310,#12143,#12144)!#10877=AXIS2_PLACEMENT_3D('',#15316,#12148,#12149)!#10878=AXIS2_PLACEMENT_3D('',#15322,#12153,#12154)!#10879=AXIS2_PLACEMENT_3D('',#15328,#12158,#12159)!#10880=AXIS2_PLACEMENT_3D('',#15334,#12163,#12164)!#10881=AXIS2_PLACEMENT_3D('',#15340,#12168,#12169)!#10882=AXIS2_PLACEMENT_3D('',#15346,#12173,#12174)!#10883=AXIS2_PLACEMENT_3D('',#15352,#12178,#12179)!#10884=AXIS2_PLACEMENT_3D('',#15358,#12183,#12184)!#10885=AXIS2_PLACEMENT_3D('',#15437,#12191,#12192)!#10886=AXIS2_PLACEMENT_3D('',#15513,#12198,#12199)!#10887=AXIS2_PLACEMENT_3D('',#15514,#12200,#12201)!#10888=AXIS2_PLACEMENT_3D('',#15520,#12205,#12206)!#10889=AXIS2_PLACEMENT_3D('',#15526,#12210,#12211)!#10890=AXIS2_PLACEMENT_3D('',#15532,#12215,#12216)!#10891=AXIS2_PLACEMENT_3D('',#15538,#12220,#12221)!#10892=AXIS2_PLACEMENT_3D('',#15544,#12225,#12226)!#10893=AXIS2_PLACEMENT_3D('',#15664,#12236,#12237)!#10894=AXIS2_PLACEMENT_3D('',#15670,#12241,#12242)!#10895=AXIS2_PLACEMENT_3D('',#15676,#12246,#12247)!#10896=AXIS2_PLACEMENT_3D('',#15682,#12251,#12252)!#10897=AXIS2_PLACEMENT_3D('',#15688,#12256,#12257)!#10898=AXIS2_PLACEMENT_3D('',#15694,#12261,#12262)!#10899=AXIS2_PLACEMENT_3D('',#15700,#12266,#12267)!#10900=AXIS2_PLACEMENT_3D('',#15779,#12274,#12275)!#10901=AXIS2_PLACEMENT_3D('',#15780,#12276,#12277)!#10902=AXIS2_PLACEMENT_3D('',#15787,#12281,#12282)!#10903=AXIS2_PLACEMENT_3D('',#15794,#12286,#12287)!#10904=AXIS2_PLACEMENT_3D('',#15801,#12288,#12289)!#10905=AXIS2_PLACEMENT_3D('',#15805,#12290,#12291)!#10906=AXIS2_PLACEMENT_3D('',#15814,#12292,#12293)!#10907=AXIS2_PLACEMENT_3D('',#15815,#12294,#12295)!#10908=AXIS2_PLACEMENT_3D('',#15821,#12296,#12297)!#10909=AXIS2_PLACEMENT_3D('',#15825,#12298,#12299)!#10910=AXIS2_PLACEMENT_3D('',#15830,#12300,#12301)!#10911=AXIS2_PLACEMENT_3D('',#15834,#12302,#12303)!#10912=AXIS2_PLACEMENT_3D('',#15839,#12307,#12308)!#10913=AXIS2_PLACEMENT_3D('',#15842,#12311,#12312)!#10914=AXIS2_PLACEMENT_3D('',#15846,#12315,#12316)!#10915=AXIS2_PLACEMENT_3D('',#15848,#12318,#12319)!#10916=AXIS2_PLACEMENT_3D('',#15849,#12320,#12321)!#10917=AXIS2_PLACEMENT_3D('',#15855,#12325,#12326)!#10918=AXIS2_PLACEMENT_3D('',#15863,#12331,#12332)!#10919=AXIS2_PLACEMENT_3D('',#15869,#12336,#12337)!#10920=AXIS2_PLACEMENT_3D('',#15875,#12341,#12342)!#10921=AXIS2_PLACEMENT_3D('',#15882,#12343,#12344)!#10922=AXIS2_PLACEMENT_3D('',#15886,#12345,#12346)!#10923=AXIS2_PLACEMENT_3D('',#15895,#12347,#12348)!#10924=AXIS2_PLACEMENT_3D('',#15896,#12349,#12350)!#10925=AXIS2_PLACEMENT_3D('',#15902,#12351,#12352)!#10926=AXIS2_PLACEMENT_3D('',#15906,#12353,#12354)!#10927=AXIS2_PLACEMENT_3D('',#15911,#12355,#12356)!#10928=AXIS2_PLACEMENT_3D('',#15915,#12357,#12358)!#10929=AXIS2_PLACEMENT_3D('',#15918,#12361,#12362)!#10930=AXIS2_PLACEMENT_3D('',#15920,#12364,#12365)!#10931=AXIS2_PLACEMENT_3D('',#15924,#12368,#12369)!#10932=AXIS2_PLACEMENT_3D('',#15926,#12371,#12372)!#10933=AXIS2_PLACEMENT_3D('',#15927,#12373,#12374)!#10934=AXIS2_PLACEMENT_3D('',#15933,#12378,#12379)!#10935=AXIS2_PLACEMENT_3D('',#15936,#12380,#12381)!#10936=AXIS2_PLACEMENT_3D('',#15937,#12382,#12383)!#10937=AXIS2_PLACEMENT_3D('',#15940,#12385,#12386)!#10938=AXIS2_PLACEMENT_3D('',#15941,#12387,#12388)!#10939=AXIS2_PLACEMENT_3D('',#15943,#12389,#12390)!#10940=AXIS2_PLACEMENT_3D('',#15944,#12391,#12392)!#10941=AXIS2_PLACEMENT_3D('',#15947,#12393,#12394)!#10942=AXIS2_PLACEMENT_3D('',#15948,#12395,#12396)!#10943=AXIS2_PLACEMENT_3D('',#15951,#12398,#12399)!#10944=AXIS2_PLACEMENT_3D('',#15952,#12400,#12401)!#10945=AXIS2_PLACEMENT_3D('',#15954,#12402,#12403)!#10946=AXIS2_PLACEMENT_3D('',#15955,#12404,#12405)!#10947=AXIS2_PLACEMENT_3D('',#15958,#12406,#12407)!#10948=AXIS2_PLACEMENT_3D('',#15959,#12408,#12409)!#10949=AXIS2_PLACEMENT_3D('',#15960,#12410,#12411)!#10950=AXIS2_PLACEMENT_3D('',#15965,#12413,#12414)!#10951=AXIS2_PLACEMENT_3D('',#15969,#12416,#12417)!#10952=AXIS2_PLACEMENT_3D('',#15978,#12423,#12424)!#10953=AXIS2_PLACEMENT_3D('',#15984,#12428,#12429)!#10954=AXIS2_PLACEMENT_3D('',#15986,#12431,#12432)!#10955=AXIS2_PLACEMENT_3D('',#15989,#12435,#12436)!#10956=AXIS2_PLACEMENT_3D('',#15990,#12437,#12438)!#10957=AXIS2_PLACEMENT_3D('',#15996,#12442,#12443)!#10958=AXIS2_PLACEMENT_3D('',#16003,#12447,#12448)!#10959=AXIS2_PLACEMENT_3D('',#16010,#12452,#12453)!#10960=AXIS2_PLACEMENT_3D('',#16017,#12454,#12455)!#10961=AXIS2_PLACEMENT_3D('',#16021,#12456,#12457)!#10962=AXIS2_PLACEMENT_3D('',#16030,#12458,#12459)!#10963=AXIS2_PLACEMENT_3D('',#16031,#12460,#12461)!#10964=AXIS2_PLACEMENT_3D('',#16037,#12462,#12463)!#10965=AXIS2_PLACEMENT_3D('',#16041,#12464,#12465)!#10966=AXIS2_PLACEMENT_3D('',#16046,#12466,#12467)!#10967=AXIS2_PLACEMENT_3D('',#16050,#12468,#12469)!#10968=AXIS2_PLACEMENT_3D('',#16055,#12473,#12474)!#10969=AXIS2_PLACEMENT_3D('',#16058,#12477,#12478)!#10970=AXIS2_PLACEMENT_3D('',#16062,#12481,#12482)!#10971=AXIS2_PLACEMENT_3D('',#16064,#12484,#12485)!#10972=AXIS2_PLACEMENT_3D('',#16065,#12486,#12487)!#10973=AXIS2_PLACEMENT_3D('',#16073,#12492,#12493)!#10974=AXIS2_PLACEMENT_3D('',#16079,#12497,#12498)!#10975=AXIS2_PLACEMENT_3D('',#16085,#12502,#12503)!#10976=AXIS2_PLACEMENT_3D('',#16091,#12507,#12508)!#10977=AXIS2_PLACEMENT_3D('',#16098,#12509,#12510)!#10978=AXIS2_PLACEMENT_3D('',#16102,#12511,#12512)!#10979=AXIS2_PLACEMENT_3D('',#16111,#12513,#12514)!#10980=AXIS2_PLACEMENT_3D('',#16112,#12515,#12516)!#10981=AXIS2_PLACEMENT_3D('',#16118,#12517,#12518)!#10982=AXIS2_PLACEMENT_3D('',#16122,#12519,#12520)!#10983=AXIS2_PLACEMENT_3D('',#16127,#12521,#12522)!#10984=AXIS2_PLACEMENT_3D('',#16131,#12523,#12524)!#10985=AXIS2_PLACEMENT_3D('',#16134,#12527,#12528)!#10986=AXIS2_PLACEMENT_3D('',#16136,#12530,#12531)!#10987=AXIS2_PLACEMENT_3D('',#16140,#12534,#12535)!#10988=AXIS2_PLACEMENT_3D('',#16142,#12537,#12538)!#10989=AXIS2_PLACEMENT_3D('',#16143,#12539,#12540)!#10990=AXIS2_PLACEMENT_3D('',#16149,#12544,#12545)!#10991=AXIS2_PLACEMENT_3D('',#16152,#12546,#12547)!#10992=AXIS2_PLACEMENT_3D('',#16153,#12548,#12549)!#10993=AXIS2_PLACEMENT_3D('',#16156,#12551,#12552)!#10994=AXIS2_PLACEMENT_3D('',#16157,#12553,#12554)!#10995=AXIS2_PLACEMENT_3D('',#16159,#12555,#12556)!#10996=AXIS2_PLACEMENT_3D('',#16160,#12557,#12558)!#10997=AXIS2_PLACEMENT_3D('',#16163,#12559,#12560)!#10998=AXIS2_PLACEMENT_3D('',#16164,#12561,#12562)!#10999=AXIS2_PLACEMENT_3D('',#16167,#12564,#12565)!#11000=AXIS2_PLACEMENT_3D('',#16168,#12566,#12567)!#11001=AXIS2_PLACEMENT_3D('',#16170,#12568,#12569)!#11002=AXIS2_PLACEMENT_3D('',#16171,#12570,#12571)!#11003=AXIS2_PLACEMENT_3D('',#16174,#12572,#12573)!#11004=AXIS2_PLACEMENT_3D('',#16175,#12574,#12575)!#11005=AXIS2_PLACEMENT_3D('',#16176,#12576,#12577)!#11006=AXIS2_PLACEMENT_3D('',#16190,#12584,#12585)!#11007=AXIS2_PLACEMENT_3D('',#16193,#12587,#12588)!#11008=AXIS2_PLACEMENT_3D('',#16194,#12589,#12590)!#11009=AXIS2_PLACEMENT_3D('',#16200,#12594,#12595)!#11010=AXIS2_PLACEMENT_3D('',#16202,#12597,#12598)!#11011=AXIS2_PLACEMENT_3D('',#16205,#12601,#12602)!#11012=AXIS2_PLACEMENT_3D('',#16206,#12603,#12604)!#11013=AXIS2_PLACEMENT_3D('',#16209,#12605,#12606)!#11014=AXIS2_PLACEMENT_3D('',#16210,#12607,#12608)!#11015=AXIS2_PLACEMENT_3D('',#16211,#12609,#12610)!#11016=AXIS2_PLACEMENT_3D('',#16214,#12611,#12612)!#11017=AXIS2_PLACEMENT_3D('',#16216,#12613,#12614)!#11018=AXIS2_PLACEMENT_3D('',#16218,#12615,#12616)!#11019=AXIS2_PLACEMENT_3D('',#16219,#12617,#12618)!#11020=AXIS2_PLACEMENT_3D('',#16220,#12619,#12620)!#11021=AXIS2_PLACEMENT_3D('',#16221,#12621,#12622)!#11022=AXIS2_PLACEMENT_3D('',#16225,#12624,#12625)!#11023=AXIS2_PLACEMENT_3D('',#16226,#12626,#12627)!#11024=AXIS2_PLACEMENT_3D('',#16227,#12628,#12629)!#11025=AXIS2_PLACEMENT_3D('',#16230,#12630,#12631)!#11026=AXIS2_PLACEMENT_3D('',#16231,#12632,#12633)!#11027=AXIS2_PLACEMENT_3D('',#16233,#12635,#12636)!#11028=AXIS2_PLACEMENT_3D('',#16236,#12637,#12638)!#11029=AXIS2_PLACEMENT_3D('',#16238,#12639,#12640)!#11030=AXIS2_PLACEMENT_3D('',#16240,#12641,#12642)!#11031=AXIS2_PLACEMENT_3D('',#16241,#12643,#12644)!#11032=AXIS2_PLACEMENT_3D('',#16242,#12645,#12646)!#11033=AXIS2_PLACEMENT_3D('',#16243,#12647,#12648)!#11034=AXIS2_PLACEMENT_3D('',#16246,#12649,#12650)!#11035=AXIS2_PLACEMENT_3D('',#16248,#12651,#12652)!#11036=AXIS2_PLACEMENT_3D('',#16250,#12654,#12655)!#11037=AXIS2_PLACEMENT_3D('',#16251,#12656,#12657)!#11038=AXIS2_PLACEMENT_3D('',#16254,#12658,#12659)!#11039=AXIS2_PLACEMENT_3D('',#16255,#12660,#12661)!#11040=AXIS2_PLACEMENT_3D('',#16256,#12662,#12663)!#11041=AXIS2_PLACEMENT_3D('',#16259,#12664,#12665)!#11042=AXIS2_PLACEMENT_3D('',#16261,#12666,#12667)!#11043=AXIS2_PLACEMENT_3D('',#16263,#12668,#12669)!#11044=AXIS2_PLACEMENT_3D('',#16264,#12670,#12671)!#11045=AXIS2_PLACEMENT_3D('',#16265,#12672,#12673)!#11046=AXIS2_PLACEMENT_3D('',#16266,#12674,#12675)!#11047=AXIS2_PLACEMENT_3D('',#16269,#12676,#12677)!#11048=AXIS2_PLACEMENT_3D('',#16270,#12678,#12679)!#11049=AXIS2_PLACEMENT_3D('',#16271,#12680,#12681)!#11050=AXIS2_PLACEMENT_3D('',#16274,#12682,#12683)!#11051=AXIS2_PLACEMENT_3D('',#16276,#12684,#12685)!#11052=AXIS2_PLACEMENT_3D('',#16278,#12687,#12688)!#11053=AXIS2_PLACEMENT_3D('',#16279,#12689,#12690)!#11054=AXIS2_PLACEMENT_3D('',#16280,#12691,#12692)!#11055=AXIS2_PLACEMENT_3D('',#16281,#12693,#12694)!#11056=AXIS2_PLACEMENT_3D('',#16283,#12696,#12697)!#11057=AXIS2_PLACEMENT_3D('',#16285,#12699,#12700)!#11058=AXIS2_PLACEMENT_3D('',#16288,#12701,#12702)!#11059=AXIS2_PLACEMENT_3D('',#16289,#12703,#12704)!#11060=AXIS2_PLACEMENT_3D('',#16292,#12705,#12706)!#11061=AXIS2_PLACEMENT_3D('',#16293,#12707,#12708)!#11062=AXIS2_PLACEMENT_3D('',#16294,#12709,#12710)!#11063=AXIS2_PLACEMENT_3D('',#16297,#12711,#12712)!#11064=AXIS2_PLACEMENT_3D('',#16298,#12713,#12714)!#11065=AXIS2_PLACEMENT_3D('',#16299,#12715,#12716)!#11066=AXIS2_PLACEMENT_3D('',#16302,#12717,#12718)!#11067=AXIS2_PLACEMENT_3D('',#16304,#12719,#12720)!#11068=AXIS2_PLACEMENT_3D('',#16306,#12721,#12722)!#11069=AXIS2_PLACEMENT_3D('',#16307,#12723,#12724)!#11070=AXIS2_PLACEMENT_3D('',#16308,#12725,#12726)!#11071=AXIS2_PLACEMENT_3D('',#16309,#12727,#12728)!#11072=AXIS2_PLACEMENT_3D('',#16313,#12730,#12731)!#11073=AXIS2_PLACEMENT_3D('',#16314,#12732,#12733)!#11074=AXIS2_PLACEMENT_3D('',#16315,#12734,#12735)!#11075=AXIS2_PLACEMENT_3D('',#16317,#12737,#12738)!#11076=AXIS2_PLACEMENT_3D('',#16320,#12739,#12740)!#11077=AXIS2_PLACEMENT_3D('',#16322,#12741,#12742)!#11078=AXIS2_PLACEMENT_3D('',#16324,#12743,#12744)!#11079=AXIS2_PLACEMENT_3D('',#16325,#12745,#12746)!#11080=AXIS2_PLACEMENT_3D('',#16326,#12747,#12748)!#11081=AXIS2_PLACEMENT_3D('',#16327,#12749,#12750)!#11082=AXIS2_PLACEMENT_3D('',#16330,#12751,#12752)!#11083=AXIS2_PLACEMENT_3D('',#16332,#12753,#12754)!#11084=AXIS2_PLACEMENT_3D('',#16334,#12756,#12757)!#11085=AXIS2_PLACEMENT_3D('',#16335,#12758,#12759)!#11086=AXIS2_PLACEMENT_3D('',#16338,#12760,#12761)!#11087=AXIS2_PLACEMENT_3D('',#16339,#12762,#12763)!#11088=AXIS2_PLACEMENT_3D('',#16340,#12764,#12765)!#11089=AXIS2_PLACEMENT_3D('',#16343,#12766,#12767)!#11090=AXIS2_PLACEMENT_3D('',#16345,#12768,#12769)!#11091=AXIS2_PLACEMENT_3D('',#16347,#12770,#12771)!#11092=AXIS2_PLACEMENT_3D('',#16348,#12772,#12773)!#11093=AXIS2_PLACEMENT_3D('',#16349,#12774,#12775)!#11094=AXIS2_PLACEMENT_3D('',#16350,#12776,#12777)!#11095=AXIS2_PLACEMENT_3D('',#16353,#12778,#12779)!#11096=AXIS2_PLACEMENT_3D('',#16354,#12780,#12781)!#11097=AXIS2_PLACEMENT_3D('',#16355,#12782,#12783)!#11098=AXIS2_PLACEMENT_3D('',#16358,#12784,#12785)!#11099=AXIS2_PLACEMENT_3D('',#16360,#12786,#12787)!#11100=AXIS2_PLACEMENT_3D('',#16362,#12789,#12790)!#11101=AXIS2_PLACEMENT_3D('',#16363,#12791,#12792)!#11102=AXIS2_PLACEMENT_3D('',#16364,#12793,#12794)!#11103=AXIS2_PLACEMENT_3D('',#16365,#12795,#12796)!#11104=AXIS2_PLACEMENT_3D('',#16367,#12798,#12799)!#11105=AXIS2_PLACEMENT_3D('',#16369,#12801,#12802)!#11106=AXIS2_PLACEMENT_3D('',#16371,#12803,#12804)!#11107=AXIS2_PLACEMENT_3D('',#16375,#12806,#12807)!#11108=AXIS2_PLACEMENT_3D('',#16377,#12808,#12809)!#11109=AXIS2_PLACEMENT_3D('',#16378,#12810,#12811)!#11110=AXIS2_PLACEMENT_3D('',#16379,#12812,#12813)!#11111=AXIS2_PLACEMENT_3D('',#16382,#12814,#12815)!#11112=AXIS2_PLACEMENT_3D('',#16383,#12816,#12817)!#11113=AXIS2_PLACEMENT_3D('',#16384,#12818,#12819)!#11114=AXIS2_PLACEMENT_3D('',#16385,#12820,#12821)!#11115=AXIS2_PLACEMENT_3D('',#16388,#12822,#12823)!#11116=AXIS2_PLACEMENT_3D('',#16389,#12824,#12825)!#11117=AXIS2_PLACEMENT_3D('',#16390,#12826,#12827)!#11118=AXIS2_PLACEMENT_3D('',#16397,#12828,#12829)!#11119=AXIS2_PLACEMENT_3D('',#16401,#12830,#12831)!#11120=AXIS2_PLACEMENT_3D('',#16410,#12832,#12833)!#11121=AXIS2_PLACEMENT_3D('',#16411,#12834,#12835)!#11122=AXIS2_PLACEMENT_3D('',#16417,#12836,#12837)!#11123=AXIS2_PLACEMENT_3D('',#16421,#12838,#12839)!#11124=AXIS2_PLACEMENT_3D('',#16430,#12840,#12841)!#11125=AXIS2_PLACEMENT_3D('',#16431,#12842,#12843)!#11126=AXIS2_PLACEMENT_3D('',#16437,#12844,#12845)!#11127=AXIS2_PLACEMENT_3D('',#16441,#12846,#12847)!#11128=AXIS2_PLACEMENT_3D('',#16449,#12848,#12849)!#11129=AXIS2_PLACEMENT_3D('',#16450,#12850,#12851)!#11130=AXIS2_PLACEMENT_3D('',#16456,#12855,#12856)!#11131=AXIS2_PLACEMENT_3D('',#16460,#12859,#12860)!#11132=AXIS2_PLACEMENT_3D('',#16464,#12863,#12864)!#11133=AXIS2_PLACEMENT_3D('',#16472,#12868,#12869)!#11134=AXIS2_PLACEMENT_3D('',#16473,#12870,#12871)!#11135=AXIS2_PLACEMENT_3D('',#16474,#12872,#12873)!#11136=AXIS2_PLACEMENT_3D('',#16476,#12875,#12876)!#11137=AXIS2_PLACEMENT_3D('',#16478,#12878,#12879)!#11138=AXIS2_PLACEMENT_3D('',#16479,#12880,#12881)!#11139=AXIS2_PLACEMENT_3D('',#16480,#12882,#12883)!#11140=AXIS2_PLACEMENT_3D('',#16482,#12885,#12886)!#11141=AXIS2_PLACEMENT_3D('',#16484,#12887,#12888)!#11142=AXIS2_PLACEMENT_3D('',#16488,#12890,#12891)!#11143=AXIS2_PLACEMENT_3D('',#16490,#12892,#12893)!#11144=AXIS2_PLACEMENT_3D('',#16491,#12894,#12895)!#11145=AXIS2_PLACEMENT_3D('',#16492,#12896,#12897)!#11146=AXIS2_PLACEMENT_3D('',#16495,#12898,#12899)!#11147=AXIS2_PLACEMENT_3D('',#16496,#12900,#12901)!#11148=AXIS2_PLACEMENT_3D('',#16497,#12902,#12903)!#11149=AXIS2_PLACEMENT_3D('',#16498,#12904,#12905)!#11150=AXIS2_PLACEMENT_3D('',#16501,#12906,#12907)!#11151=AXIS2_PLACEMENT_3D('',#16502,#12908,#12909)!#11152=AXIS2_PLACEMENT_3D('',#16503,#12910,#12911)!#11153=AXIS2_PLACEMENT_3D('',#16510,#12912,#12913)!#11154=AXIS2_PLACEMENT_3D('',#16514,#12914,#12915)!#11155=AXIS2_PLACEMENT_3D('',#16523,#12916,#12917)!#11156=AXIS2_PLACEMENT_3D('',#16524,#12918,#12919)!#11157=AXIS2_PLACEMENT_3D('',#16530,#12920,#12921)!#11158=AXIS2_PLACEMENT_3D('',#16534,#12922,#12923)!#11159=AXIS2_PLACEMENT_3D('',#16543,#12924,#12925)!#11160=AXIS2_PLACEMENT_3D('',#16544,#12926,#12927)!#11161=AXIS2_PLACEMENT_3D('',#16550,#12928,#12929)!#11162=AXIS2_PLACEMENT_3D('',#16554,#12930,#12931)!#11163=AXIS2_PLACEMENT_3D('',#16562,#12932,#12933)!#11164=AXIS2_PLACEMENT_3D('',#16563,#12934,#12935)!#11165=AXIS2_PLACEMENT_3D('',#16569,#12939,#12940)!#11166=AXIS2_PLACEMENT_3D('',#16573,#12943,#12944)!#11167=AXIS2_PLACEMENT_3D('',#16577,#12947,#12948)!#11168=AXIS2_PLACEMENT_3D('',#16585,#12952,#12953)!#11169=AXIS2_PLACEMENT_3D('',#16586,#12954,#12955)!#11170=AXIS2_PLACEMENT_3D('',#16587,#12956,#12957)!#11171=AXIS2_PLACEMENT_3D('',#16589,#12959,#12960)!#11172=AXIS2_PLACEMENT_3D('',#16591,#12962,#12963)!#11173=AXIS2_PLACEMENT_3D('',#16592,#12964,#12965)!#11174=AXIS2_PLACEMENT_3D('',#16593,#12966,#12967)!#11175=AXIS2_PLACEMENT_3D('',#16595,#12969,#12970)!#11176=AXIS2_PLACEMENT_3D('',#16597,#12971,#12972)!#11177=AXIS2_PLACEMENT_3D('',#16601,#12974,#12975)!#11178=AXIS2_PLACEMENT_3D('',#16603,#12976,#12977)!#11179=AXIS2_PLACEMENT_3D('',#16604,#12978,#12979)!#11180=AXIS2_PLACEMENT_3D('',#16605,#12980,#12981)!#11181=AXIS2_PLACEMENT_3D('',#16608,#12982,#12983)!#11182=AXIS2_PLACEMENT_3D('',#16609,#12984,#12985)!#11183=AXIS2_PLACEMENT_3D('',#16610,#12986,#12987)!#11184=AXIS2_PLACEMENT_3D('',#16611,#12988,#12989)!#11185=AXIS2_PLACEMENT_3D('',#16614,#12990,#12991)!#11186=AXIS2_PLACEMENT_3D('',#16615,#12992,#12993)!#11187=AXIS2_PLACEMENT_3D('',#16616,#12994,#12995)!#11188=AXIS2_PLACEMENT_3D('',#16623,#12996,#12997)!#11189=AXIS2_PLACEMENT_3D('',#16627,#12998,#12999)!#11190=AXIS2_PLACEMENT_3D('',#16636,#13000,#13001)!#11191=AXIS2_PLACEMENT_3D('',#16637,#13002,#13003)!#11192=AXIS2_PLACEMENT_3D('',#16643,#13004,#13005)!#11193=AXIS2_PLACEMENT_3D('',#16647,#13006,#13007)!#11194=AXIS2_PLACEMENT_3D('',#16656,#13008,#13009)!#11195=AXIS2_PLACEMENT_3D('',#16657,#13010,#13011)!#11196=AXIS2_PLACEMENT_3D('',#16663,#13012,#13013)!#11197=AXIS2_PLACEMENT_3D('',#16667,#13014,#13015)!#11198=AXIS2_PLACEMENT_3D('',#16675,#13016,#13017)!#11199=AXIS2_PLACEMENT_3D('',#16676,#13018,#13019)!#11200=AXIS2_PLACEMENT_3D('',#16682,#13023,#13024)!#11201=AXIS2_PLACEMENT_3D('',#16686,#13027,#13028)!#11202=AXIS2_PLACEMENT_3D('',#16690,#13031,#13032)!#11203=AXIS2_PLACEMENT_3D('',#16698,#13036,#13037)!#11204=AXIS2_PLACEMENT_3D('',#16699,#13038,#13039)!#11205=AXIS2_PLACEMENT_3D('',#16700,#13040,#13041)!#11206=AXIS2_PLACEMENT_3D('',#16702,#13043,#13044)!#11207=AXIS2_PLACEMENT_3D('',#16704,#13046,#13047)!#11208=AXIS2_PLACEMENT_3D('',#16705,#13048,#13049)!#11209=AXIS2_PLACEMENT_3D('',#16706,#13050,#13051)!#11210=AXIS2_PLACEMENT_3D('',#16708,#13053,#13054)!#11211=AXIS2_PLACEMENT_3D('',#16710,#13055,#13056)!#11212=AXIS2_PLACEMENT_3D('',#16714,#13058,#13059)!#11213=AXIS2_PLACEMENT_3D('',#16716,#13060,#13061)!#11214=AXIS2_PLACEMENT_3D('',#16717,#13062,#13063)!#11215=AXIS2_PLACEMENT_3D('',#16718,#13064,#13065)!#11216=AXIS2_PLACEMENT_3D('',#16721,#13066,#13067)!#11217=AXIS2_PLACEMENT_3D('',#16722,#13068,#13069)!#11218=AXIS2_PLACEMENT_3D('',#16723,#13070,#13071)!#11219=AXIS2_PLACEMENT_3D('',#16724,#13072,#13073)!#11220=AXIS2_PLACEMENT_3D('',#16727,#13074,#13075)!#11221=AXIS2_PLACEMENT_3D('',#16728,#13076,#13077)!#11222=AXIS2_PLACEMENT_3D('',#16729,#13078,#13079)!#11223=AXIS2_PLACEMENT_3D('',#16736,#13080,#13081)!#11224=AXIS2_PLACEMENT_3D('',#16740,#13082,#13083)!#11225=AXIS2_PLACEMENT_3D('',#16749,#13084,#13085)!#11226=AXIS2_PLACEMENT_3D('',#16750,#13086,#13087)!#11227=AXIS2_PLACEMENT_3D('',#16756,#13088,#13089)!#11228=AXIS2_PLACEMENT_3D('',#16760,#13090,#13091)!#11229=AXIS2_PLACEMENT_3D('',#16769,#13092,#13093)!#11230=AXIS2_PLACEMENT_3D('',#16770,#13094,#13095)!#11231=AXIS2_PLACEMENT_3D('',#16776,#13096,#13097)!#11232=AXIS2_PLACEMENT_3D('',#16780,#13098,#13099)!#11233=AXIS2_PLACEMENT_3D('',#16788,#13100,#13101)!#11234=AXIS2_PLACEMENT_3D('',#16789,#13102,#13103)!#11235=AXIS2_PLACEMENT_3D('',#16795,#13107,#13108)!#11236=AXIS2_PLACEMENT_3D('',#16799,#13111,#13112)!#11237=AXIS2_PLACEMENT_3D('',#16803,#13115,#13116)!#11238=AXIS2_PLACEMENT_3D('',#16811,#13120,#13121)!#11239=AXIS2_PLACEMENT_3D('',#16812,#13122,#13123)!#11240=AXIS2_PLACEMENT_3D('',#16813,#13124,#13125)!#11241=AXIS2_PLACEMENT_3D('',#16815,#13127,#13128)!#11242=AXIS2_PLACEMENT_3D('',#16817,#13130,#13131)!#11243=AXIS2_PLACEMENT_3D('',#16818,#13132,#13133)!#11244=AXIS2_PLACEMENT_3D('',#16819,#13134,#13135)!#11245=AXIS2_PLACEMENT_3D('',#16821,#13137,#13138)!#11246=AXIS2_PLACEMENT_3D('',#16828,#13139,#13140)!#11247=AXIS2_PLACEMENT_3D('',#16832,#13141,#13142)!#11248=AXIS2_PLACEMENT_3D('',#16841,#13143,#13144)!#11249=AXIS2_PLACEMENT_3D('',#16842,#13145,#13146)!#11250=AXIS2_PLACEMENT_3D('',#16848,#13147,#13148)!#11251=AXIS2_PLACEMENT_3D('',#16852,#13149,#13150)!#11252=AXIS2_PLACEMENT_3D('',#16861,#13151,#13152)!#11253=AXIS2_PLACEMENT_3D('',#16862,#13153,#13154)!#11254=AXIS2_PLACEMENT_3D('',#16868,#13155,#13156)!#11255=AXIS2_PLACEMENT_3D('',#16872,#13157,#13158)!#11256=AXIS2_PLACEMENT_3D('',#16880,#13159,#13160)!#11257=AXIS2_PLACEMENT_3D('',#16881,#13161,#13162)!#11258=AXIS2_PLACEMENT_3D('',#16887,#13166,#13167)!#11259=AXIS2_PLACEMENT_3D('',#16891,#13170,#13171)!#11260=AXIS2_PLACEMENT_3D('',#16895,#13174,#13175)!#11261=AXIS2_PLACEMENT_3D('',#16899,#13178,#13179)!#11262=AXIS2_PLACEMENT_3D('',#16903,#13182,#13183)!#11263=AXIS2_PLACEMENT_3D('',#16905,#13185,#13186)!#11264=AXIS2_PLACEMENT_3D('',#16906,#13187,#13188)!#11265=AXIS2_PLACEMENT_3D('',#16913,#13192,#13193)!#11266=AXIS2_PLACEMENT_3D('',#16925,#13199,#13200)!#11267=AXIS2_PLACEMENT_3D('',#16927,#13201,#13202)!#11268=AXIS2_PLACEMENT_3D('',#16928,#13203,#13204)!#11269=AXIS2_PLACEMENT_3D('',#16935,#13208,#13209)!#11270=AXIS2_PLACEMENT_3D('',#16944,#13214,#13215)!#11271=AXIS2_PLACEMENT_3D('',#16984,#13217,#13218)!#11272=AXIS2_PLACEMENT_3D('',#16993,#13223,#13224)!#11273=AXIS2_PLACEMENT_3D('',#16999,#13228,#13229)!#11274=AXIS2_PLACEMENT_3D('',#17005,#13233,#13234)!#11275=AXIS2_PLACEMENT_3D('',#17011,#13238,#13239)!#11276=AXIS2_PLACEMENT_3D('',#17017,#13243,#13244)!#11277=AXIS2_PLACEMENT_3D('',#17020,#13247,#13248)!#11278=AXIS2_PLACEMENT_3D('',#17023,#13251,#13252)!#11279=AXIS2_PLACEMENT_3D('',#17026,#13255,#13256)!#11280=AXIS2_PLACEMENT_3D('',#17029,#13259,#13260)!#11281=AXIS2_PLACEMENT_3D('',#17030,#13261,#13262)!#11282=AXIS2_PLACEMENT_3D('',#17033,#13265,#13266)!#11283=AXIS2_PLACEMENT_3D('',#17036,#13269,#13270)!#11284=AXIS2_PLACEMENT_3D('',#17037,#13271,#13272)!#11285=AXIS2_PLACEMENT_3D('',#17043,#13276,#13277)!#11286=AXIS2_PLACEMENT_3D('',#17049,#13281,#13282)!#11287=AXIS2_PLACEMENT_3D('',#17053,#13285,#13286)!#11288=AXIS2_PLACEMENT_3D('',#17057,#13289,#13290)!#11289=AXIS2_PLACEMENT_3D('',#17060,#13291,#13292)!#11290=AXIS2_PLACEMENT_3D('',#17064,#13294,#13295)!#11291=AXIS2_PLACEMENT_3D('',#17066,#13297,#13298)!#11292=AXIS2_PLACEMENT_3D('',#17069,#13299,#13300)!#11293=AXIS2_PLACEMENT_3D('',#17073,#13302,#13303)!#11294=AXIS2_PLACEMENT_3D('',#17075,#13305,#13306)!#11295=AXIS2_PLACEMENT_3D('',#17107,#13309,#13310)!#11296=AXIS2_PLACEMENT_3D('',#17109,#13311,#13312)!#11297=AXIS2_PLACEMENT_3D('',#17111,#13314,#13315)!#11298=AXIS2_PLACEMENT_3D('',#17113,#13316,#13317)!#11299=AXIS2_PLACEMENT_3D('',#17115,#13319,#13320)!#11300=AXIS2_PLACEMENT_3D('',#17119,#13322,#13323)!#11301=AXIS2_PLACEMENT_3D('',#17123,#13325,#13326)!#11302=AXIS2_PLACEMENT_3D('',#17125,#13328,#13329)!#11303=AXIS2_PLACEMENT_3D('',#17127,#13331,#13332)!#11304=AXIS2_PLACEMENT_3D('',#17128,#13333,#13334)!#11305=AXIS2_PLACEMENT_3D('',#17130,#13336,#13337)!#11306=AXIS2_PLACEMENT_3D('',#17132,#13339,#13340)!#11307=AXIS2_PLACEMENT_3D('',#17133,#13341,#13342)!#11308=AXIS2_PLACEMENT_3D('',#17135,#13344,#13345)!#11309=AXIS2_PLACEMENT_3D('',#17138,#13348,#13349)!#11310=AXIS2_PLACEMENT_3D('',#17139,#13350,#13351)!#11311=AXIS2_PLACEMENT_3D('',#17142,#13352,#13353)!#11312=AXIS2_PLACEMENT_3D('',#17147,#13356,#13357)!#11313=AXIS2_PLACEMENT_3D('',#17148,#13358,#13359)!#11314=AXIS2_PLACEMENT_3D('',#17154,#13363,#13364)!#11315=AXIS2_PLACEMENT_3D('',#17158,#13367,#13368)!#11316=AXIS2_PLACEMENT_3D('',#17160,#13369,#13370)!#11317=AXIS2_PLACEMENT_3D('',#17162,#13372,#13373)!#11318=AXIS2_PLACEMENT_3D('',#17163,#13374,#13375)!#11319=AXIS2_PLACEMENT_3D('',#17164,#13376,#13377)!#11320=AXIS2_PLACEMENT_3D('',#17166,#13378,#13379)!#11321=AXIS2_PLACEMENT_3D('',#17170,#13381,#13382)!#11322=AXIS2_PLACEMENT_3D('',#17174,#13384,#13385)!#11323=AXIS2_PLACEMENT_3D('',#17177,#13387,#13388)!#11324=AXIS2_PLACEMENT_3D('',#17178,#13389,#13390)!#11325=AXIS2_PLACEMENT_3D('',#17180,#13391,#13392)!#11326=AXIS2_PLACEMENT_3D('',#17183,#13394,#13395)!#11327=AXIS2_PLACEMENT_3D('',#17184,#13396,#13397)!#11328=AXIS2_PLACEMENT_3D('',#17186,#13398,#13399)!#11329=AXIS2_PLACEMENT_3D('',#17189,#13401,#13402)!#11330=AXIS2_PLACEMENT_3D('',#17190,#13403,#13404)!#11331=AXIS2_PLACEMENT_3D('',#17195,#13406,#13407)!#11332=AXIS2_PLACEMENT_3D('',#17198,#13409,#13410)!#11333=AXIS2_PLACEMENT_3D('',#17201,#13412,#13413)!#11334=AXIS2_PLACEMENT_3D('',#17204,#13415,#13416)!#11335=AXIS2_PLACEMENT_3D('',#17205,#13417,#13418)!#11336=AXIS2_PLACEMENT_3D('',#17207,#13419,#13420)!#11337=AXIS2_PLACEMENT_3D('',#17211,#13423,#13424)!#11338=AXIS2_PLACEMENT_3D('',#17212,#13425,#13426)!#11339=AXIS2_PLACEMENT_3D('',#17214,#13427,#13428)!#11340=AXIS2_PLACEMENT_3D('',#17215,#13429,#13430)!#11341=AXIS2_PLACEMENT_3D('',#17219,#13433,#13434)!#11342=AXIS2_PLACEMENT_3D('',#17221,#13435,#13436)!#11343=AXIS2_PLACEMENT_3D('',#17225,#13439,#13440)!#11344=AXIS2_PLACEMENT_3D('',#17231,#13444,#13445)!#11345=AXIS2_PLACEMENT_3D('',#17233,#13447,#13448)!#11346=AXIS2_PLACEMENT_3D('',#17234,#13449,#13450)!#11347=AXIS2_PLACEMENT_3D('',#17235,#13451,#13452)!#11348=AXIS2_PLACEMENT_3D('',#17237,#13454,#13455)!#11349=AXIS2_PLACEMENT_3D('',#17239,#13457,#13458)!#11350=AXIS2_PLACEMENT_3D('',#17240,#13459,#13460)!#11351=AXIS2_PLACEMENT_3D('',#17242,#13461,#13462)!#11352=AXIS2_PLACEMENT_3D('',#17245,#13464,#13465)!#11353=AXIS2_PLACEMENT_3D('',#17246,#13466,#13467)!#11354=AXIS2_PLACEMENT_3D('',#17249,#13468,#13469)!#11355=AXIS2_PLACEMENT_3D('',#17253,#13471,#13472)!#11356=AXIS2_PLACEMENT_3D('',#17256,#13474,#13475)!#11357=AXIS2_PLACEMENT_3D('',#17257,#13476,#13477)!#11358=AXIS2_PLACEMENT_3D('',#17292,#13479,#13480)!#11359=AXIS2_PLACEMENT_3D('',#17327,#13482,#13483)!#11360=AXIS2_PLACEMENT_3D('',#17328,#13484,#13485)!#11361=AXIS2_PLACEMENT_3D('',#17329,#13486,#13487)!#11362=AXIS2_PLACEMENT_3D('',#17330,#13488,#13489)!#11363=AXIS2_PLACEMENT_3D('',#17332,#13490,#13491)!#11364=AXIS2_PLACEMENT_3D('',#17333,#13492,#13493)!#11365=AXIS2_PLACEMENT_3D('',#17334,#13494,#13495)!#11366=AXIS2_PLACEMENT_3D('',#17335,#13496,#13497)!#11367=AXIS2_PLACEMENT_3D('',#17336,#13498,#13499)!#11368=AXIS2_PLACEMENT_3D('',#17374,#13502,#13503)!#11369=AXIS2_PLACEMENT_3D('',#17376,#13504,#13505)!#11370=AXIS2_PLACEMENT_3D('',#17381,#13506,#13507)!#11371=AXIS2_PLACEMENT_3D('',#17382,#13508,#13509)!#11372=AXIS2_PLACEMENT_3D('',#17415,#13512,#13513)!#11373=AXIS2_PLACEMENT_3D('',#17416,#13514,#13515)!#11374=AXIS2_PLACEMENT_3D('',#17417,#13516,#13517)!#11375=AXIS2_PLACEMENT_3D('',#17418,#13518,#13519)!#11376=AXIS2_PLACEMENT_3D('',#17419,#13520,#13521)!#11377=AXIS2_PLACEMENT_3D('',#17420,#13522,#13523)!#11378=AXIS2_PLACEMENT_3D('',#17421,#13524,#13525)!#11379=AXIS2_PLACEMENT_3D('',#17422,#13526,#13527)!#11380=AXIS2_PLACEMENT_3D('',#17426,#13529,#13530)!#11381=AXIS2_PLACEMENT_3D('',#17427,#13531,#13532)!#11382=AXIS2_PLACEMENT_3D('',#17428,#13533,#13534)!#11383=AXIS2_PLACEMENT_3D('',#17429,#13535,#13536)!#11384=AXIS2_PLACEMENT_3D('',#17430,#13537,#13538)!#11385=AXIS2_PLACEMENT_3D('',#17442,#13539,#13540)!#11386=AXIS2_PLACEMENT_3D('',#17446,#13542,#13543)!#11387=AXIS2_PLACEMENT_3D('',#17448,#13544,#13545)!#11388=AXIS2_PLACEMENT_3D('',#17449,#13546,#13547)!#11389=AXIS2_PLACEMENT_3D('',#17451,#13548,#13549)!#11390=AXIS2_PLACEMENT_3D('',#17453,#13550,#13551)!#11391=AXIS2_PLACEMENT_3D('',#17497,#13553,#13554)!#11392=AXIS2_PLACEMENT_3D('',#17500,#13555,#13556)!#11393=AXIS2_PLACEMENT_3D('',#17501,#13557,#13558)!#11394=AXIS2_PLACEMENT_3D('',#17503,#13559,#13560)!#11395=AXIS2_PLACEMENT_3D('',#17504,#13561,#13562)!#11396=AXIS2_PLACEMENT_3D('',#17505,#13563,#13564)!#11397=AXIS2_PLACEMENT_3D('',#17508,#13566,#13567)!#11398=AXIS2_PLACEMENT_3D('',#17509,#13568,#13569)!#11399=AXIS2_PLACEMENT_3D('',#17512,#13570,#13571)!#11400=AXIS2_PLACEMENT_3D('',#17514,#13572,#13573)!#11401=AXIS2_PLACEMENT_3D('',#17515,#13574,#13575)!#11402=AXIS2_PLACEMENT_3D('',#17516,#13576,#13577)!#11403=AXIS2_PLACEMENT_3D('',#17519,#13578,#13579)!#11404=AXIS2_PLACEMENT_3D('',#17522,#13581,#13582)!#11405=AXIS2_PLACEMENT_3D('',#17523,#13583,#13584)!#11406=AXIS2_PLACEMENT_3D('',#17524,#13585,#13586)!#11407=AXIS2_PLACEMENT_3D('',#17525,#13587,#13588)!#11408=AXIS2_PLACEMENT_3D('',#17526,#13589,#13590)!#11409=AXIS2_PLACEMENT_3D('',#17527,#13591,#13592)!#11410=AXIS2_PLACEMENT_3D('',#17528,#13593,#13594)!#11411=AXIS2_PLACEMENT_3D('',#17543,#13595,#13596)!#11412=AXIS2_PLACEMENT_3D('',#17546,#13597,#13598)!#11413=AXIS2_PLACEMENT_3D('',#17547,#13599,#13600)!#11414=AXIS2_PLACEMENT_3D('',#17548,#13601,#13602)!#11415=AXIS2_PLACEMENT_3D('',#17552,#13604,#13605)!#11416=AXIS2_PLACEMENT_3D('',#17553,#13606,#13607)!#11417=AXIS2_PLACEMENT_3D('',#17554,#13608,#13609)!#11418=AXIS2_PLACEMENT_3D('',#17556,#13611,#13612)!#11419=AXIS2_PLACEMENT_3D('',#17557,#13613,#13614)!#11420=AXIS2_PLACEMENT_3D('',#17558,#13615,#13616)!#11421=AXIS2_PLACEMENT_3D('',#17562,#13618,#13619)!#11422=AXIS2_PLACEMENT_3D('',#17564,#13620,#13621)!#11423=AXIS2_PLACEMENT_3D('',#17565,#13622,#13623)!#11424=AXIS2_PLACEMENT_3D('',#17566,#13624,#13625)!#11425=AXIS2_PLACEMENT_3D('',#17569,#13626,#13627)!#11426=AXIS2_PLACEMENT_3D('',#17570,#13628,#13629)!#11427=AXIS2_PLACEMENT_3D('',#17571,#13630,#13631)!#11428=AXIS2_PLACEMENT_3D('',#17572,#13632,#13633)!#11429=AXIS2_PLACEMENT_3D('',#17575,#13634,#13635)!#11430=AXIS2_PLACEMENT_3D('',#17576,#13636,#13637)!#11431=AXIS2_PLACEMENT_3D('',#17577,#13638,#13639)!#11432=AXIS2_PLACEMENT_3D('',#17580,#13640,#13641)!#11433=AXIS2_PLACEMENT_3D('',#17581,#13642,#13643)!#11434=AXIS2_PLACEMENT_3D('',#17583,#13644,#13645)!#11435=AXIS2_PLACEMENT_3D('',#17584,#13646,#13647)!#11436=AXIS2_PLACEMENT_3D('',#17585,#13648,#13649)!#11437=AXIS2_PLACEMENT_3D('',#17588,#13650,#13651)!#11438=AXIS2_PLACEMENT_3D('',#17590,#13652,#13653)!#11439=AXIS2_PLACEMENT_3D('',#17592,#13655,#13656)!#11440=AXIS2_PLACEMENT_3D('',#17593,#13657,#13658)!#11441=AXIS2_PLACEMENT_3D('',#17595,#13659,#13660)!#11442=AXIS2_PLACEMENT_3D('',#17597,#13661,#13662)!#11443=AXIS2_PLACEMENT_3D('',#17598,#13663,#13664)!#11444=AXIS2_PLACEMENT_3D('',#17599,#13665,#13666)!#11445=AXIS2_PLACEMENT_3D('',#17602,#13667,#13668)!#11446=AXIS2_PLACEMENT_3D('',#17603,#13669,#13670)!#11447=AXIS2_PLACEMENT_3D('',#17604,#13671,#13672)!#11448=AXIS2_PLACEMENT_3D('',#17608,#13674,#13675)!#11449=AXIS2_PLACEMENT_3D('',#17614,#13676,#13677)!#11450=AXIS2_PLACEMENT_3D('',#17620,#13678,#13679)!#11451=AXIS2_PLACEMENT_3D('',#17626,#13680,#13681)!#11452=AXIS2_PLACEMENT_3D('',#17631,#13682,#13683)!#11453=AXIS2_PLACEMENT_3D('',#17632,#13684,#13685)!#11454=AXIS2_PLACEMENT_3D('',#17635,#13686,#13687)!#11455=AXIS2_PLACEMENT_3D('',#17637,#13688,#13689)!#11456=AXIS2_PLACEMENT_3D('',#17639,#13691,#13692)!#11457=AXIS2_PLACEMENT_3D('',#17640,#13693,#13694)!#11458=AXIS2_PLACEMENT_3D('',#17644,#13696,#13697)!#11459=AXIS2_PLACEMENT_3D('',#17646,#13698,#13699)!#11460=AXIS2_PLACEMENT_3D('',#17647,#13700,#13701)!#11461=AXIS2_PLACEMENT_3D('',#17648,#13702,#13703)!#11462=AXIS2_PLACEMENT_3D('',#17649,#13704,#13705)!#11463=AXIS2_PLACEMENT_3D('',#17650,#13706,#13707)!#11464=AXIS2_PLACEMENT_3D('',#17654,#13709,#13710)!#11465=AXIS2_PLACEMENT_3D('',#17656,#13711,#13712)!#11466=AXIS2_PLACEMENT_3D('',#17657,#13713,#13714)!#11467=AXIS2_PLACEMENT_3D('',#17658,#13715,#13716)!#11468=AXIS2_PLACEMENT_3D('',#17661,#13717,#13718)!#11469=AXIS2_PLACEMENT_3D('',#17663,#13719,#13720)!#11470=AXIS2_PLACEMENT_3D('',#17664,#13721,#13722)!#11471=AXIS2_PLACEMENT_3D('',#17665,#13723,#13724)!#11472=AXIS2_PLACEMENT_3D('',#17666,#13725,#13726)!#11473=AXIS2_PLACEMENT_3D('',#17670,#13728,#13729)!#11474=AXIS2_PLACEMENT_3D('',#17672,#13730,#13731)!#11475=AXIS2_PLACEMENT_3D('',#17673,#13732,#13733)!#11476=AXIS2_PLACEMENT_3D('',#17674,#13734,#13735)!#11477=AXIS2_PLACEMENT_3D('',#17677,#13736,#13737)!#11478=AXIS2_PLACEMENT_3D('',#17679,#13738,#13739)!#11479=AXIS2_PLACEMENT_3D('',#17680,#13740,#13741)!#11480=AXIS2_PLACEMENT_3D('',#17681,#13742,#13743)!#11481=AXIS2_PLACEMENT_3D('',#17682,#13744,#13745)!#11482=AXIS2_PLACEMENT_3D('',#17687,#13747,#13748)!#11483=AXIS2_PLACEMENT_3D('',#17690,#13750,#13751)!#11484=AXIS2_PLACEMENT_3D('',#17691,#13752,#13753)!#11485=AXIS2_PLACEMENT_3D('',#17696,#13755,#13756)!#11486=AXIS2_PLACEMENT_3D('',#17699,#13758,#13759)!#11487=AXIS2_PLACEMENT_3D('',#17700,#13760,#13761)!#11488=AXIS2_PLACEMENT_3D('',#17704,#13763,#13764)!#11489=AXIS2_PLACEMENT_3D('',#17706,#13766,#13767)!#11490=AXIS2_PLACEMENT_3D('',#17711,#13770,#13771)!#11491=AXIS2_PLACEMENT_3D('',#17712,#13772,#13773)!#11492=AXIS2_PLACEMENT_3D('',#17715,#13776,#13777)!#11493=AXIS2_PLACEMENT_3D('',#17718,#13780,#13781)!#11494=AXIS2_PLACEMENT_3D('',#17724,#13782,#13783)!#11495=AXIS2_PLACEMENT_3D('',#17727,#13785,#13786)!#11496=AXIS2_PLACEMENT_3D('',#17736,#13787,#13788)!#11497=AXIS2_PLACEMENT_3D('',#17740,#13789,#13790)!#11498=AXIS2_PLACEMENT_3D('',#17754,#13791,#13792)!#11499=AXIS2_PLACEMENT_3D('',#17756,#13793,#13794)!#11500=AXIS2_PLACEMENT_3D('',#17758,#13795,#13796)!#11501=AXIS2_PLACEMENT_3D('',#17770,#13797,#13798)!#11502=AXIS2_PLACEMENT_3D('',#17771,#13799,#13800)!#11503=AXIS2_PLACEMENT_3D('',#17785,#13801,#13802)!#11504=AXIS2_PLACEMENT_3D('',#17798,#13803,#13804)!#11505=AXIS2_PLACEMENT_3D('',#17800,#13805,#13806)!#11506=AXIS2_PLACEMENT_3D('',#17801,#13807,#13808)!#11507=AXIS2_PLACEMENT_3D('',#17802,#13809,#13810)!#11508=AXIS2_PLACEMENT_3D('',#17811,#13811,#13812)!#11509=AXIS2_PLACEMENT_3D('',#17812,#13813,#13814)!#11510=AXIS2_PLACEMENT_3D('',#17818,#13815,#13816)!#11511=AXIS2_PLACEMENT_3D('',#17822,#13817,#13818)!#11512=AXIS2_PLACEMENT_3D('',#17826,#13822,#13823)!#11513=AXIS2_PLACEMENT_3D('',#17834,#13829,#13830)!#11514=AXIS2_PLACEMENT_3D('',#17835,#13831,#13832)!#11515=AXIS2_PLACEMENT_3D('',#17836,#13833,#13834)!#11516=AXIS2_PLACEMENT_3D('',#17850,#13835,#13836)!#11517=AXIS2_PLACEMENT_3D('',#17852,#13837,#13838)!#11518=AXIS2_PLACEMENT_3D('',#17854,#13839,#13840)!#11519=AXIS2_PLACEMENT_3D('',#17856,#13841,#13842)!#11520=AXIS2_PLACEMENT_3D('',#17868,#13843,#13844)!#11521=AXIS2_PLACEMENT_3D('',#17869,#13845,#13846)!#11522=AXIS2_PLACEMENT_3D('',#17878,#13847,#13848)!#11523=AXIS2_PLACEMENT_3D('',#17879,#13849,#13850)!#11524=AXIS2_PLACEMENT_3D('',#17886,#13851,#13852)!#11525=AXIS2_PLACEMENT_3D('',#17891,#13853,#13854)!#11526=AXIS2_PLACEMENT_3D('',#17892,#13855,#13856)!#11527=AXIS2_PLACEMENT_3D('',#17916,#13857,#13858)!#11528=AXIS2_PLACEMENT_3D('',#17918,#13859,#13860)!#11529=AXIS2_PLACEMENT_3D('',#17920,#13861,#13862)!#11530=AXIS2_PLACEMENT_3D('',#17921,#13863,#13864)!#11531=AXIS2_PLACEMENT_3D('',#17922,#13865,#13866)!#11532=AXIS2_PLACEMENT_3D('',#17926,#13870,#13871)!#11533=AXIS2_PLACEMENT_3D('',#17928,#13873,#13874)!#11534=AXIS2_PLACEMENT_3D('',#17930,#13876,#13877)!#11535=AXIS2_PLACEMENT_3D('',#17932,#13879,#13880)!#11536=AXIS2_PLACEMENT_3D('',#17934,#13882,#13883)!#11537=AXIS2_PLACEMENT_3D('',#17943,#13888,#13889)!#11538=AXIS2_PLACEMENT_3D('',#17947,#13893,#13894)!#11539=AXIS2_PLACEMENT_3D('',#17950,#13895,#13896)!#11540=AXIS2_PLACEMENT_3D('',#17954,#13898,#13899)!#11541=AXIS2_PLACEMENT_3D('',#17957,#13901,#13902)!#11542=AXIS2_PLACEMENT_3D('',#17959,#13903,#13904)!#11543=AXIS2_PLACEMENT_3D('',#17961,#13906,#13907)!#11544=AXIS2_PLACEMENT_3D('',#17962,#13908,#13909)!#11545=AXIS2_PLACEMENT_3D('',#17964,#13910,#13911)!#11546=AXIS2_PLACEMENT_3D('',#17968,#13914,#13915)!#11547=AXIS2_PLACEMENT_3D('',#17974,#13919,#13920)!#11548=AXIS2_PLACEMENT_3D('',#17976,#13922,#13923)!#11549=AXIS2_PLACEMENT_3D('',#17977,#13924,#13925)!#11550=AXIS2_PLACEMENT_3D('',#17978,#13926,#13927)!#11551=AXIS2_PLACEMENT_3D('',#17979,#13928,#13929)!#11552=AXIS2_PLACEMENT_3D('',#17980,#13930,#13931)!#11553=AXIS2_PLACEMENT_3D('',#17993,#13938,#13939)!#11554=AXIS2_PLACEMENT_3D('',#17994,#13940,#13941)!#11555=AXIS2_PLACEMENT_3D('',#17995,#13942,#13943)!#11556=AXIS2_PLACEMENT_3D('',#17996,#13944,#13945)!#11557=AXIS2_PLACEMENT_3D('',#17998,#13947,#13948)!#11558=AXIS2_PLACEMENT_3D('',#18000,#13950,#13951)!#11559=AXIS2_PLACEMENT_3D('',#18002,#13953,#13954)!#11560=AXIS2_PLACEMENT_3D('',#18004,#13955,#13956)!#11561=AXIS2_PLACEMENT_3D('',#18008,#13959,#13960)!#11562=AXIS2_PLACEMENT_3D('',#18011,#13962,#13963)!#11563=AXIS2_PLACEMENT_3D('',#18041,#13965,#13966)!#11564=AXIS2_PLACEMENT_3D('',#18045,#13969,#13970)!#11565=AXIS2_PLACEMENT_3D('',#18047,#13972,#13973)!#11566=AXIS2_PLACEMENT_3D('',#18048,#13974,#13975)!#11567=AXIS2_PLACEMENT_3D('',#18051,#13976,#13977)!#11568=AXIS2_PLACEMENT_3D('',#18055,#13979,#13980)!#11569=AXIS2_PLACEMENT_3D('',#18057,#13981,#13982)!#11570=AXIS2_PLACEMENT_3D('',#18061,#13985,#13986)!#11571=AXIS2_PLACEMENT_3D('',#18068,#13991,#13992)!#11572=AXIS2_PLACEMENT_3D('',#18078,#13998,#13999)!#11573=AXIS2_PLACEMENT_3D('',#18079,#14000,#14001)!#11574=AXIS2_PLACEMENT_3D('',#18085,#14005,#14006)!#11575=AXIS2_PLACEMENT_3D('',#18087,#14007,#14008)!#11576=AXIS2_PLACEMENT_3D('',#18091,#14011,#14012)!#11577=AXIS2_PLACEMENT_3D('',#18092,#14013,#14014)!#11578=AXIS2_PLACEMENT_3D('',#18098,#14018,#14019)!#11579=AXIS2_PLACEMENT_3D('',#18100,#14020,#14021)!#11580=AXIS2_PLACEMENT_3D('',#18129,#14022,#14023)!#11581=AXIS2_PLACEMENT_3D('',#18130,#14024,#14025)!#11582=AXIS2_PLACEMENT_3D('',#18136,#14029,#14030)!#11583=AXIS2_PLACEMENT_3D('',#18142,#14034,#14035)!#11584=AXIS2_PLACEMENT_3D('',#18143,#14036,#14037)!#11585=AXIS2_PLACEMENT_3D('',#18144,#14038,#14039)!#11586=AXIS2_PLACEMENT_3D('',#18145,#14040,#14041)!#11587=AXIS2_PLACEMENT_3D('',#18146,#14042,#14043)!#11588=AXIS2_PLACEMENT_3D('',#18152,#14047,#14048)!#11589=AXIS2_PLACEMENT_3D('',#18158,#14052,#14053)!#11590=AXIS2_PLACEMENT_3D('',#18166,#14058,#14059)!#11591=AXIS2_PLACEMENT_3D('',#18170,#14062,#14063)!#11592=AXIS2_PLACEMENT_3D('',#18176,#14067,#14068)!#11593=AXIS2_PLACEMENT_3D('',#18178,#14069,#14070)!#11594=AXIS2_PLACEMENT_3D('',#18179,#14071,#14072)!#11595=AXIS2_PLACEMENT_3D('',#18180,#14073,#14074)!#11596=AXIS2_PLACEMENT_3D('',#18193,#14081,#14082)!#11597=AXIS2_PLACEMENT_3D('',#18198,#14086,#14087)!#11598=AXIS2_PLACEMENT_3D('',#18202,#14090,#14091)!#11599=AXIS2_PLACEMENT_3D('',#18205,#14094,#14095)!#11600=AXIS2_PLACEMENT_3D('',#18208,#14098,#14099)!#11601=AXIS2_PLACEMENT_3D('',#18210,#14101,#14102)!#11602=AXIS2_PLACEMENT_3D('',#18212,#14104,#14105)!#11603=AXIS2_PLACEMENT_3D('',#18214,#14107,#14108)!#11604=AXIS2_PLACEMENT_3D('',#18216,#14110,#14111)!#11605=AXIS2_PLACEMENT_3D('',#18217,#14112,#14113)!#11606=AXIS2_PLACEMENT_3D('',#18218,#14114,#14115)!#11607=AXIS2_PLACEMENT_3D('',#18220,#14116,#14117)!#11608=AXIS2_PLACEMENT_3D('',#18221,#14118,#14119)!#11609=AXIS2_PLACEMENT_3D('',#18223,#14121,#14122)!#11610=AXIS2_PLACEMENT_3D('',#18226,#14123,#14124)!#11611=AXIS2_PLACEMENT_3D('',#18228,#14125,#14126)!#11612=AXIS2_PLACEMENT_3D('',#18230,#14127,#14128)!#11613=AXIS2_PLACEMENT_3D('',#18232,#14129,#14130)!#11614=AXIS2_PLACEMENT_3D('',#18234,#14131,#14132)!#11615=AXIS2_PLACEMENT_3D('',#18235,#14133,#14134)!#11616=AXIS2_PLACEMENT_3D('',#18236,#14135,#14136)!#11617=AXIS2_PLACEMENT_3D('',#18247,#14139,#14140)!#11618=AXIS2_PLACEMENT_3D('',#18256,#14142,#14143)!#11619=AXIS2_PLACEMENT_3D('',#18265,#14145,#14146)!#11620=AXIS2_PLACEMENT_3D('',#18274,#14148,#14149)!#11621=AXIS2_PLACEMENT_3D('',#18283,#14151,#14152)!#11622=AXIS2_PLACEMENT_3D('',#18290,#14153,#14154)!#11623=AXIS2_PLACEMENT_3D('',#18291,#14155,#14156)!#11624=AXIS2_PLACEMENT_3D('',#18292,#14157,#14158)!#11625=AXIS2_PLACEMENT_3D('',#18293,#14159,#14160)!#11626=AXIS2_PLACEMENT_3D('',#18294,#14161,#14162)!#11627=AXIS2_PLACEMENT_3D('',#18295,#14163,#14164)!#11628=AXIS2_PLACEMENT_3D('',#18296,#14165,#14166)!#11629=AXIS2_PLACEMENT_3D('',#18298,#14167,#14168)!#11630=AXIS2_PLACEMENT_3D('',#18299,#14169,#14170)!#11631=AXIS2_PLACEMENT_3D('',#18302,#14171,#14172)!#11632=AXIS2_PLACEMENT_3D('',#18303,#14173,#14174)!#11633=AXIS2_PLACEMENT_3D('',#18307,#14176,#14177)!#11634=AXIS2_PLACEMENT_3D('',#18308,#14178,#14179)!#11635=AXIS2_PLACEMENT_3D('',#18309,#14180,#14181)!#11636=AXIS2_PLACEMENT_3D('',#18312,#14182,#14183)!#11637=AXIS2_PLACEMENT_3D('',#18313,#14184,#14185)!#11638=AXIS2_PLACEMENT_3D('',#18314,#14186,#14187)!#11639=AXIS2_PLACEMENT_3D('',#18318,#14189,#14190)!#11640=AXIS2_PLACEMENT_3D('',#18322,#14192,#14193)!#11641=AXIS2_PLACEMENT_3D('',#18326,#14195,#14196)!#11642=AXIS2_PLACEMENT_3D('',#18327,#14197,#14198)!#11643=AXIS2_PLACEMENT_3D('',#18329,#14199,#14200)!#11644=AXIS2_PLACEMENT_3D('',#18332,#14202,#14203)!#11645=AXIS2_PLACEMENT_3D('',#18407,#14205,#14206)!#11646=AXIS2_PLACEMENT_3D('',#18410,#14208,#14209)!#11647=AXIS2_PLACEMENT_3D('',#18411,#14210,#14211)!#11648=AXIS2_PLACEMENT_3D('',#18414,#14212,#14213)!#11649=AXIS2_PLACEMENT_3D('',#18415,#14214,#14215)!#11650=AXIS2_PLACEMENT_3D('',#18416,#14216,#14217)!#11651=AXIS2_PLACEMENT_3D('',#18422,#14221,#14222)!#11652=AXIS2_PLACEMENT_3D('',#18428,#14226,#14227)!#11653=AXIS2_PLACEMENT_3D('',#18431,#14228,#14229)!#11654=AXIS2_PLACEMENT_3D('',#18435,#14231,#14232)!#11655=AXIS2_PLACEMENT_3D('',#18437,#14234,#14235)!#11656=AXIS2_PLACEMENT_3D('',#18443,#14239,#14240)!#11657=AXIS2_PLACEMENT_3D('',#18445,#14241,#14242)!#11658=AXIS2_PLACEMENT_3D('',#18448,#14244,#14245)!#11659=AXIS2_PLACEMENT_3D('',#18449,#14246,#14247)!#11660=AXIS2_PLACEMENT_3D('',#18455,#14251,#14252)!#11661=AXIS2_PLACEMENT_3D('',#18457,#14253,#14254)!#11662=AXIS2_PLACEMENT_3D('',#18460,#14256,#14257)!#11663=AXIS2_PLACEMENT_3D('',#18461,#14258,#14259)!#11664=AXIS2_PLACEMENT_3D('',#18464,#14260,#14261)!#11665=AXIS2_PLACEMENT_3D('',#18469,#14264,#14265)!#11666=AXIS2_PLACEMENT_3D('',#18484,#14273,#14274)!#11667=AXIS2_PLACEMENT_3D('',#18485,#14275,#14276)!#11668=AXIS2_PLACEMENT_3D('',#18488,#14279,#14280)!#11669=AXIS2_PLACEMENT_3D('',#18490,#14281,#14282)!#11670=AXIS2_PLACEMENT_3D('',#18493,#14284,#14285)!#11671=AXIS2_PLACEMENT_3D('',#18494,#14286,#14287)!#11672=AXIS2_PLACEMENT_3D('',#18500,#14291,#14292)!#11673=AXIS2_PLACEMENT_3D('',#18502,#14293,#14294)!#11674=AXIS2_PLACEMENT_3D('',#18505,#14296,#14297)!#11675=AXIS2_PLACEMENT_3D('',#18506,#14298,#14299)!#11676=AXIS2_PLACEMENT_3D('',#18515,#14304,#14305)!#11677=AXIS2_PLACEMENT_3D('',#18518,#14306,#14307)!#11678=AXIS2_PLACEMENT_3D('',#18522,#14309,#14310)!#11679=AXIS2_PLACEMENT_3D('',#18524,#14312,#14313)!#11680=AXIS2_PLACEMENT_3D('',#18530,#14317,#14318)!#11681=AXIS2_PLACEMENT_3D('',#18531,#14319,#14320)!#11682=AXIS2_PLACEMENT_3D('',#18532,#14321,#14322)!#11683=AXIS2_PLACEMENT_3D('',#18533,#14323,#14324)!#11684=AXIS2_PLACEMENT_3D('',#18534,#14325,#14326)!#11685=AXIS2_PLACEMENT_3D('',#18535,#14327,#14328)!#11686=AXIS2_PLACEMENT_3D('',#18536,#14329,#14330)!#11687=AXIS2_PLACEMENT_3D('',#18539,#14331,#14332)!#11688=AXIS2_PLACEMENT_3D('',#18544,#14335,#14336)!#11689=AXIS2_PLACEMENT_3D('',#18558,#14344,#14345)!#11690=AXIS2_PLACEMENT_3D('',#18562,#14348,#14349)!#11691=AXIS2_PLACEMENT_3D('',#18564,#14350,#14351)!#11692=AXIS2_PLACEMENT_3D('',#18588,#14353,#14354)!#11693=AXIS2_PLACEMENT_3D('',#18590,#14355,#14356)!#11694=AXIS2_PLACEMENT_3D('',#18592,#14357,#14358)!#11695=AXIS2_PLACEMENT_3D('',#18596,#14360,#14361)!#11696=AXIS2_PLACEMENT_3D('',#18600,#14363,#14364)!#11697=AXIS2_PLACEMENT_3D('',#18602,#14366,#14367)!#11698=AXIS2_PLACEMENT_3D('',#18608,#14371,#14372)!#11699=AXIS2_PLACEMENT_3D('',#18635,#14375,#14376)!#11700=AXIS2_PLACEMENT_3D('',#18636,#14377,#14378)!#11701=AXIS2_PLACEMENT_3D('',#18638,#14380,#14381)!#11702=AXIS2_PLACEMENT_3D('',#18641,#14382,#14383)!#11703=AXIS2_PLACEMENT_3D('',#18642,#14384,#14385)!#11704=AXIS2_PLACEMENT_3D('',#18645,#14386,#14387)!#11705=AXIS2_PLACEMENT_3D('',#18646,#14388,#14389)!#11706=AXIS2_PLACEMENT_3D('',#18647,#14390,#14391)!#11707=AXIS2_PLACEMENT_3D('',#18651,#14393,#14394)!#11708=AXIS2_PLACEMENT_3D('',#18652,#14395,#14396)!#11709=AXIS2_PLACEMENT_3D('',#18653,#14397,#14398)!#11710=AXIS2_PLACEMENT_3D('',#18656,#14399,#14400)!#11711=AXIS2_PLACEMENT_3D('',#18657,#14401,#14402)!#11712=AXIS2_PLACEMENT_3D('',#18658,#14403,#14404)!#11713=AXIS2_PLACEMENT_3D('',#18709,#14406,#14407)!#11714=AXIS2_PLACEMENT_3D('',#18711,#14409,#14410)!#11715=AXIS2_PLACEMENT_3D('',#18713,#14411,#14412)!#11716=AXIS2_PLACEMENT_3D('',#18714,#14413,#14414)!#11717=AXIS2_PLACEMENT_3D('',#18715,#14415,#14416)!#11718=AXIS2_PLACEMENT_3D('',#18716,#14417,#14418)!#11719=AXIS2_PLACEMENT_3D('',#18719,#14420,#14421)!#11720=AXIS2_PLACEMENT_3D('',#18720,#14422,#14423)!#11721=AXIS2_PLACEMENT_3D('',#18722,#14425,#14426)!#11722=AXIS2_PLACEMENT_3D('',#18726,#14428,#14429)!#11723=AXIS2_PLACEMENT_3D('',#18728,#14430,#14431)!#11724=AXIS2_PLACEMENT_3D('',#18729,#14432,#14433)!#11725=AXIS2_PLACEMENT_3D('',#18730,#14434,#14435)!#11726=AXIS2_PLACEMENT_3D('',#18733,#14436,#14437)!#11727=AXIS2_PLACEMENT_3D('',#18734,#14438,#14439)!#11728=AXIS2_PLACEMENT_3D('',#18735,#14440,#14441)!#11729=AXIS2_PLACEMENT_3D('',#18736,#14442,#14443)!#11730=AXIS2_PLACEMENT_3D('',#18737,#14444,#14445)!#11731=AXIS2_PLACEMENT_3D('',#18740,#14447,#14448)!#11732=AXIS2_PLACEMENT_3D('',#18741,#14449,#14450)!#11733=AXIS2_PLACEMENT_3D('',#18743,#14451,#14452)!#11734=AXIS2_PLACEMENT_3D('',#18758,#14461,#14462)!#11735=AXIS2_PLACEMENT_3D('',#18760,#14464,#14465)!#11736=AXIS2_PLACEMENT_3D('',#18762,#14467,#14468)!#11737=AXIS2_PLACEMENT_3D('',#18764,#14470,#14471)!#11738=AXIS2_PLACEMENT_3D('',#18766,#14472,#14473)!#11739=AXIS2_PLACEMENT_3D('',#18770,#14476,#14477)!#11740=AXIS2_PLACEMENT_3D('',#18771,#14478,#14479)!#11741=AXIS2_PLACEMENT_3D('',#18775,#14482,#14483)!#11742=AXIS2_PLACEMENT_3D('',#18776,#14484,#14485)!#11743=AXIS2_PLACEMENT_3D('',#18777,#14486,#14487)!#11744=AXIS2_PLACEMENT_3D('',#18779,#14488,#14489)!#11745=AXIS2_PLACEMENT_3D('',#18781,#14491,#14492)!#11746=AXIS2_PLACEMENT_3D('',#18783,#14494,#14495)!#11747=AXIS2_PLACEMENT_3D('',#18784,#14496,#14497)!#11748=AXIS2_PLACEMENT_3D('',#18787,#14498,#14499)!#11749=AXIS2_PLACEMENT_3D('',#18791,#14501,#14502)!#11750=AXIS2_PLACEMENT_3D('',#18795,#14504,#14505)!#11751=AXIS2_PLACEMENT_3D('',#18799,#14507,#14508)!#11752=AXIS2_PLACEMENT_3D('',#18801,#14510,#14511)!#11753=AXIS2_PLACEMENT_3D('',#18812,#14518,#14519)!#11754=AXIS2_PLACEMENT_3D('',#18814,#14520,#14521)!#11755=AXIS2_PLACEMENT_3D('',#18817,#14523,#14524)!#11756=AXIS2_PLACEMENT_3D('',#18819,#14525,#14526)!#11757=AXIS2_PLACEMENT_3D('',#18822,#14528,#14529)!#11758=AXIS2_PLACEMENT_3D('',#18823,#14530,#14531)!#11759=AXIS2_PLACEMENT_3D('',#18829,#14535,#14536)!#11760=AXIS2_PLACEMENT_3D('',#18830,#14537,#14538)!#11761=AXIS2_PLACEMENT_3D('',#18831,#14539,#14540)!#11762=AXIS2_PLACEMENT_3D('',#18832,#14541,#14542)!#11763=AXIS2_PLACEMENT_3D('',#18833,#14543,#14544)!#11764=AXIS2_PLACEMENT_3D('',#18834,#14545,#14546)!#11765=AXIS2_PLACEMENT_3D('',#18835,#14547,#14548)!#11766=AXIS2_PLACEMENT_3D('',#18836,#14549,#14550)!#11767=AXIS2_PLACEMENT_3D('',#18837,#14551,#14552)!#11768=AXIS2_PLACEMENT_3D('',#18838,#14553,#14554)!#11769=AXIS2_PLACEMENT_3D('',#18839,#14555,#14556)!#11770=AXIS2_PLACEMENT_3D('',#18840,#14557,#14558)!#11771=AXIS2_PLACEMENT_3D('',#18841,#14559,#14560)!#11772=AXIS2_PLACEMENT_3D('',#18842,#14561,#14562)!#11773=AXIS2_PLACEMENT_3D('',#18843,#14563,#14564)!#11774=AXIS2_PLACEMENT_3D('',#18862,#14565,#14566)!#11775=AXIS2_PLACEMENT_3D('',#18863,#14567,#14568)!#11776=AXIS2_PLACEMENT_3D('',#18864,#14569,#14570)!#11777=AXIS2_PLACEMENT_3D('',#18865,#14571,#14572)!#11778=AXIS2_PLACEMENT_3D('',#18866,#14573,#14574)!#11779=AXIS2_PLACEMENT_3D('',#18885,#14575,#14576)!#11780=AXIS2_PLACEMENT_3D('',#18887,#14578,#14579)!#11781=AXIS2_PLACEMENT_3D('',#18889,#14581,#14582)!#11782=AXIS2_PLACEMENT_3D('',#18890,#14583,#14584)!#11783=AXIS2_PLACEMENT_3D('',#18892,#14586,#14587)!#11784=AXIS2_PLACEMENT_3D('',#18894,#14589,#14590)!#11785=AXIS2_PLACEMENT_3D('',#18895,#14591,#14592)!#11786=AXIS2_PLACEMENT_3D('',#18899,#14594,#14595)!#11787=AXIS2_PLACEMENT_3D('',#18901,#14596,#14597)!#11788=AXIS2_PLACEMENT_3D('',#18902,#14598,#14599)!#11789=AXIS2_PLACEMENT_3D('',#18903,#14600,#14601)!#11790=AXIS2_PLACEMENT_3D('',#18906,#14602,#14603)!#11791=AXIS2_PLACEMENT_3D('',#18907,#14604,#14605)!#11792=AXIS2_PLACEMENT_3D('',#18909,#14606,#14607)!#11793=AXIS2_PLACEMENT_3D('',#18910,#14608,#14609)!#11794=AXIS2_PLACEMENT_3D('',#18911,#14610,#14611)!#11795=AXIS2_PLACEMENT_3D('',#18915,#14613,#14614)!#11796=AXIS2_PLACEMENT_3D('',#18916,#14615,#14616)!#11797=AXIS2_PLACEMENT_3D('',#18917,#14617,#14618)!#11798=AXIS2_PLACEMENT_3D('',#18920,#14619,#14620)!#11799=AXIS2_PLACEMENT_3D('',#18921,#14621,#14622)!#11800=AXIS2_PLACEMENT_3D('',#18922,#14623,#14624)!#11801=AXIS2_PLACEMENT_3D('',#18926,#14626,#14627)!#11802=AXIS2_PLACEMENT_3D('',#18928,#14628,#14629)!#11803=AXIS2_PLACEMENT_3D('',#18930,#14630,#14631)!#11804=AXIS2_PLACEMENT_3D('',#18932,#14632,#14633)!#11805=AXIS2_PLACEMENT_3D('',#18934,#14634,#14635)!#11806=AXIS2_PLACEMENT_3D('',#18936,#14636,#14637)!#11807=AXIS2_PLACEMENT_3D('',#18938,#14638,#14639)!#11808=AXIS2_PLACEMENT_3D('',#18940,#14640,#14641)!#11809=AXIS2_PLACEMENT_3D('',#18941,#14642,#14643)!#11810=AXIS2_PLACEMENT_3D('',#18942,#14644,#14645)!#11811=AXIS2_PLACEMENT_3D('',#18944,#14646,#14647)!#11812=AXIS2_PLACEMENT_3D('',#18945,#14648,#14649)!#11813=AXIS2_PLACEMENT_3D('',#18946,#14650,#14651)!#11814=AXIS2_PLACEMENT_3D('',#18948,#14652,#14653)!#11815=AXIS2_PLACEMENT_3D('',#18949,#14654,#14655)!#11816=AXIS2_PLACEMENT_3D('',#18950,#14656,#14657)!#11817=AXIS2_PLACEMENT_3D('',#18952,#14658,#14659)!#11818=AXIS2_PLACEMENT_3D('',#18953,#14660,#14661)!#11819=AXIS2_PLACEMENT_3D('',#18954,#14662,#14663)!#11820=AXIS2_PLACEMENT_3D('',#18956,#14664,#14665)!#11821=AXIS2_PLACEMENT_3D('',#18957,#14666,#14667)!#11822=AXIS2_PLACEMENT_3D('',#18958,#14668,#14669)!#11823=AXIS2_PLACEMENT_3D('',#18960,#14670,#14671)!#11824=AXIS2_PLACEMENT_3D('',#18961,#14672,#14673)!#11825=AXIS2_PLACEMENT_3D('',#18963,#14674,#14675)!#11826=AXIS2_PLACEMENT_3D('',#18964,#14676,#14677)!#11827=AXIS2_PLACEMENT_3D('',#18966,#14678,#14679)!#11828=AXIS2_PLACEMENT_3D('',#18967,#14680,#14681)!#11829=AXIS2_PLACEMENT_3D('',#18970,#14682,#14683)!#11830=AXIS2_PLACEMENT_3D('',#18971,#14684,#14685)!#11831=AXIS2_PLACEMENT_3D('',#18975,#14687,#14688)!#11832=AXIS2_PLACEMENT_3D('',#18976,#14689,#14690)!#11833=AXIS2_PLACEMENT_3D('',#18977,#14691,#14692)!#11834=AXIS2_PLACEMENT_3D('',#18978,#14693,#14694)!#11835=AXIS2_PLACEMENT_3D('',#18982,#14696,#14697)!#11836=AXIS2_PLACEMENT_3D('',#18983,#14698,#14699)!#11837=AXIS2_PLACEMENT_3D('',#18984,#14700,#14701)!#11838=AXIS2_PLACEMENT_3D('',#18986,#14702,#14703)!#11839=AXIS2_PLACEMENT_3D('',#18987,#14704,#14705)!#11840=AXIS2_PLACEMENT_3D('',#18988,#14706,#14707)!#11841=AXIS2_PLACEMENT_3D('',#18992,#14709,#14710)!#11842=AXIS2_PLACEMENT_3D('',#18993,#14711,#14712)!#11843=AXIS2_PLACEMENT_3D('',#18994,#14713,#14714)!#11844=AXIS2_PLACEMENT_3D('',#18996,#14715,#14716)!#11845=AXIS2_PLACEMENT_3D('',#18997,#14717,#14718)!#11846=AXIS2_PLACEMENT_3D('',#18998,#14719,#14720)!#11847=AXIS2_PLACEMENT_3D('',#19002,#14722,#14723)!#11848=AXIS2_PLACEMENT_3D('',#19003,#14724,#14725)!#11849=AXIS2_PLACEMENT_3D('',#19004,#14726,#14727)!#11850=AXIS2_PLACEMENT_3D('',#19006,#14728,#14729)!#11851=AXIS2_PLACEMENT_3D('',#19007,#14730,#14731)!#11852=AXIS2_PLACEMENT_3D('',#19008,#14732,#14733)!#11853=AXIS2_PLACEMENT_3D('',#19012,#14735,#14736)!#11854=AXIS2_PLACEMENT_3D('',#19013,#14737,#14738)!#11855=AXIS2_PLACEMENT_3D('',#19014,#14739,#14740)!#11856=AXIS2_PLACEMENT_3D('',#19016,#14741,#14742)!#11857=AXIS2_PLACEMENT_3D('',#19017,#14743,#14744)!#11858=AXIS2_PLACEMENT_3D('',#19018,#14745,#14746)!#11859=AXIS2_PLACEMENT_3D('',#19019,#14747,#14748)!#11860=AXIS2_PLACEMENT_3D('',#19021,#14750,#14751)!#11861=AXIS2_PLACEMENT_3D('',#19023,#14753,#14754)!#11862=AXIS2_PLACEMENT_3D('',#19025,#14756,#14757)!#11863=AXIS2_PLACEMENT_3D('',#19027,#14759,#14760)!#11864=AXIS2_PLACEMENT_3D('',#19030,#14761,#14762)!#11865=AXIS2_PLACEMENT_3D('',#19032,#14763,#14764)!#11866=AXIS2_PLACEMENT_3D('',#19034,#14765,#14766)!#11867=AXIS2_PLACEMENT_3D('',#19036,#14767,#14768)!#11868=AXIS2_PLACEMENT_3D('',#19038,#14769,#14770)!#11869=AXIS2_PLACEMENT_3D('',#19039,#14771,#14772)!#11870=AXIS2_PLACEMENT_3D('',#19040,#14773,#14774)!#11871=AXIS2_PLACEMENT_3D('',#19051,#14777,#14778)!#11872=AXIS2_PLACEMENT_3D('',#19060,#14780,#14781)!#11873=AXIS2_PLACEMENT_3D('',#19069,#14783,#14784)!#11874=AXIS2_PLACEMENT_3D('',#19078,#14786,#14787)!#11875=AXIS2_PLACEMENT_3D('',#19087,#14789,#14790)!#11876=AXIS2_PLACEMENT_3D('',#19094,#14791,#14792)!#11877=AXIS2_PLACEMENT_3D('',#19095,#14793,#14794)!#11878=AXIS2_PLACEMENT_3D('',#19096,#14795,#14796)!#11879=AXIS2_PLACEMENT_3D('',#19097,#14797,#14798)!#11880=AXIS2_PLACEMENT_3D('',#19098,#14799,#14800)!#11881=AXIS2_PLACEMENT_3D('',#19099,#14801,#14802)!#11882=AXIS2_PLACEMENT_3D('',#19100,#14803,#14804)!#11883=AXIS2_PLACEMENT_3D('',#19103,#14805,#14806)!#11884=AXIS2_PLACEMENT_3D('',#19105,#14807,#14808)!#11885=AXIS2_PLACEMENT_3D('',#19107,#14809,#14810)!#11886=AXIS2_PLACEMENT_3D('',#19109,#14811,#14812)!#11887=AXIS2_PLACEMENT_3D('',#19111,#14813,#14814)!#11888=AXIS2_PLACEMENT_3D('',#19112,#14815,#14816)!#11889=AXIS2_PLACEMENT_3D('',#19113,#14817,#14818)!#11890=AXIS2_PLACEMENT_3D('',#19124,#14821,#14822)!#11891=AXIS2_PLACEMENT_3D('',#19133,#14824,#14825)!#11892=AXIS2_PLACEMENT_3D('',#19142,#14827,#14828)!#11893=AXIS2_PLACEMENT_3D('',#19151,#14830,#14831)!#11894=AXIS2_PLACEMENT_3D('',#19160,#14833,#14834)!#11895=AXIS2_PLACEMENT_3D('',#19167,#14835,#14836)!#11896=AXIS2_PLACEMENT_3D('',#19168,#14837,#14838)!#11897=AXIS2_PLACEMENT_3D('',#19169,#14839,#14840)!#11898=AXIS2_PLACEMENT_3D('',#19170,#14841,#14842)!#11899=AXIS2_PLACEMENT_3D('',#19171,#14843,#14844)!#11900=AXIS2_PLACEMENT_3D('',#19172,#14845,#14846)!#11901=DIRECTION('axis',(0.,0.,1.))!#11902=DIRECTION('refdir',(1.,0.,0.))!#11903=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11904=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11905=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11906=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11907=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11908=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11909=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11910=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11911=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11912=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11913=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11914=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11915=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11916=DIRECTION('ref_axis',(3.44509284839767E-16,1.19078058454339E-78, -1.))!#11917=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11918=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11919=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11920=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11921=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11922=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11923=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11924=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11925=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11926=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11927=DIRECTION('center_axis',(1.,5.61948217845022E-32,4.91225733377674E-16))!#11928=DIRECTION('ref_axis',(-5.61948217845022E-32,1.,-1.24777570019723E-47))!#11929=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11930=DIRECTION('',(-4.91225733377674E-16,4.10153193419307E-16,1.))!#11931=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11932=DIRECTION('center_axis',(0.5,1.80793295229387E-16,0.866025403784439))!#11933=DIRECTION('ref_axis',(-0.866025403784439,-8.42076456057254E-63,0.5))!#11934=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11935=DIRECTION('',(-0.866025403784439,2.05076596709653E-16,0.5))!#11936=DIRECTION('center_axis',(-0.500000000000001,1.80793295229387E-16, 0.866025403784438))!#11937=DIRECTION('ref_axis',(-3.61586590458773E-16,-1.,-8.02883516246072E-32))!#11938=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11939=DIRECTION('',(-0.866025403784438,-2.05076596709654E-16,-0.500000000000001))!#11940=DIRECTION('center_axis',(-1.,-1.96681876245758E-31,-1.16417855450928E-15))!#11941=DIRECTION('ref_axis',(1.96681876245758E-31,-1.,4.36721495069032E-47))!#11942=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11943=DIRECTION('',(1.16417855450928E-15,-4.10153193419307E-16,-1.))!#11944=DIRECTION('center_axis',(-0.499999999999999,-1.80793295229387E-16, -0.866025403784439))!#11945=DIRECTION('ref_axis',(0.866025403784439,8.42076456057254E-63,-0.499999999999999))!#11946=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11947=DIRECTION('',(0.866025403784439,-2.05076596709653E-16,-0.499999999999999))!#11948=DIRECTION('center_axis',(0.500000000000001,-1.80793295229387E-16, -0.866025403784438))!#11949=DIRECTION('ref_axis',(3.61586590458773E-16,1.,8.02883516246073E-32))!#11950=DIRECTION('',(0.866025403784438,2.05076596709654E-16,0.500000000000001))!#11951=DIRECTION('center_axis',(-7.68011554759039E-32,-1.,1.23441844834321E-16))!#11952=DIRECTION('ref_axis',(1.,-4.93915598084502E-32,2.22044604925031E-16))!#11953=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11954=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11955=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11956=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11957=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11958=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11959=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11960=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11961=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11962=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11963=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11964=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11965=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11966=DIRECTION('ref_axis',(7.88598494689829E-16,5.50886486209062E-78, -1.))!#11967=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11968=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11969=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11970=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11971=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11972=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11973=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11974=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11975=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#11976=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11977=DIRECTION('center_axis',(1.,5.61948217845022E-32,4.91225733377674E-16))!#11978=DIRECTION('ref_axis',(-5.61948217845022E-32,1.,-1.24777570019723E-47))!#11979=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11980=DIRECTION('',(-4.91225733377674E-16,-4.10153193419307E-16,1.))!#11981=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11982=DIRECTION('center_axis',(0.5,1.80793295229387E-16,0.866025403784439))!#11983=DIRECTION('ref_axis',(-0.866025403784439,-8.42076456057254E-63,0.5))!#11984=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11985=DIRECTION('',(-0.866025403784439,-2.05076596709653E-16,0.5))!#11986=DIRECTION('center_axis',(-0.500000000000001,1.80793295229387E-16, 0.866025403784438))!#11987=DIRECTION('ref_axis',(-3.61586590458773E-16,-1.,-8.02883516246072E-32))!#11988=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11989=DIRECTION('',(-0.866025403784438,2.05076596709654E-16,-0.500000000000001))!#11990=DIRECTION('center_axis',(-1.,-1.96681876245758E-31,-1.16417855450928E-15))!#11991=DIRECTION('ref_axis',(1.96681876245758E-31,-1.,4.36721495069032E-47))!#11992=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11993=DIRECTION('',(1.16417855450928E-15,4.10153193419307E-16,-1.))!#11994=DIRECTION('center_axis',(-0.499999999999999,-1.80793295229387E-16, -0.866025403784439))!#11995=DIRECTION('ref_axis',(0.866025403784439,8.42076456057254E-63,-0.499999999999999))!#11996=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#11997=DIRECTION('',(0.866025403784439,2.05076596709653E-16,-0.499999999999999))!#11998=DIRECTION('center_axis',(0.500000000000001,-1.80793295229387E-16, -0.866025403784438))!#11999=DIRECTION('ref_axis',(3.61586590458773E-16,1.,8.02883516246073E-32))!#12000=DIRECTION('',(0.866025403784438,-2.05076596709654E-16,0.500000000000001))!#12001=DIRECTION('center_axis',(-7.68011554759039E-32,-1.,1.23441844834321E-16))!#12002=DIRECTION('ref_axis',(1.,-4.93915598084502E-32,2.22044604925031E-16))!#12003=DIRECTION('center_axis',(-0.792212795079337,0.610244940423584,-4.16209875019981E-16))!#12004=DIRECTION('ref_axis',(-0.610244940423584,-0.792212795079337,1.7645731471272E-16))!#12005=DIRECTION('',(-0.610244940423584,-0.792212795079337,-1.35501596703854E-16))!#12006=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12007=DIRECTION('',(0.610244940423584,0.792212795079337,-1.7645731471272E-16))!#12008=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12009=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12010=DIRECTION('center_axis',(-0.792212795079335,-0.610244940423588,6.43967208200904E-17))!#12011=DIRECTION('ref_axis',(0.610244940423588,-0.792212795079335,4.47460508120428E-16))!#12012=DIRECTION('',(0.610244940423588,-0.792212795079335,1.35501596703855E-16))!#12013=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12014=DIRECTION('',(-0.610244940423588,0.792212795079335,-4.47460508120428E-16))!#12015=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12016=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12017=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12018=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12019=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12020=DIRECTION('center_axis',(0.792306646898181,0.61012308371423,-6.43278965863155E-17))!#12021=DIRECTION('ref_axis',(-0.61012308371423,0.792306646898181,-4.47470407625524E-16))!#12022=DIRECTION('',(-0.61012308371423,0.792306646898181,-1.35474539078968E-16))!#12023=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12024=DIRECTION('',(0.61012308371423,-0.792306646898181,4.47470407625524E-16))!#12025=DIRECTION('center_axis',(0.792183100321141,0.610283487869027,-6.44184936600342E-17))!#12026=DIRECTION('ref_axis',(-0.610283487869027,0.792183100321141,-4.47457374119976E-16))!#12027=DIRECTION('',(-0.610283487869027,0.792183100321141,-1.35510155956148E-16))!#12028=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12029=DIRECTION('',(0.610283487869027,-0.792183100321141,4.47457374119976E-16))!#12030=DIRECTION('center_axis',(0.792194537987718,-0.610268640831582,4.16215153918543E-16))!#12031=DIRECTION('ref_axis',(0.610268640831582,0.792194537987718,-1.76444862856179E-16))!#12032=DIRECTION('',(0.610268640831582,0.792194537987718,1.35506859251584E-16))!#12033=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12034=DIRECTION('',(-0.610268640831582,-0.792194537987718,1.76444862856179E-16))!#12035=DIRECTION('center_axis',(0.792306646898181,0.61012308371423,-6.43278965863155E-17))!#12036=DIRECTION('ref_axis',(-0.61012308371423,0.792306646898181,-4.47470407625524E-16))!#12037=DIRECTION('',(-0.61012308371423,0.792306646898181,-1.35474539078968E-16))!#12038=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12039=DIRECTION('',(0.61012308371423,-0.792306646898181,4.47470407625524E-16))!#12040=DIRECTION('center_axis',(0.792318073248593,-0.61010824515297,4.16179423371675E-16))!#12041=DIRECTION('ref_axis',(0.61010824515297,0.792318073248593,-1.76529123777898E-16))!#12042=DIRECTION('',(0.61010824515297,0.792318073248593,1.35471244256495E-16))!#12043=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12044=DIRECTION('',(-0.61010824515297,-0.792318073248593,1.76529123777898E-16))!#12045=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12046=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12047=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12048=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12049=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12050=DIRECTION('center_axis',(-0.79222423172973,0.610230093210945,-4.16206567905674E-16))!#12051=DIRECTION('ref_axis',(-0.610230093210945,-0.79222423172973,1.76465114999966E-16))!#12052=DIRECTION('',(-0.610230093210945,-0.79222423172973,-1.35498299960389E-16))!#12053=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12054=DIRECTION('',(0.610230093210945,0.79222423172973,-1.76465114999966E-16))!#12055=DIRECTION('center_axis',(-0.792224231729728,-0.610230093210947,6.4388334812747E-17))!#12056=DIRECTION('ref_axis',(0.610230093210947,-0.792224231729729,4.47461714920745E-16))!#12057=DIRECTION('',(0.610230093210947,-0.792224231729728,1.3549829996039E-16))!#12058=DIRECTION('',(-0.610230093210947,0.792224231729728,-4.47461714920744E-16))!#12059=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12060=DIRECTION('ref_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12061=DIRECTION('center_axis',(-0.792212795079335,-0.610244940423588,6.43967208200904E-17))!#12062=DIRECTION('ref_axis',(0.610244940423588,-0.792212795079335,4.47460508120428E-16))!#12063=DIRECTION('',(-0.610244940423588,0.792212795079335,-1.35501596703855E-16))!#12064=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12065=DIRECTION('',(-0.610244940423588,0.792212795079335,-4.47460508120428E-16))!#12066=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12067=DIRECTION('center_axis',(-0.79222423172973,0.610230093210945,-4.16206567905674E-16))!#12068=DIRECTION('ref_axis',(-0.610230093210945,-0.79222423172973,1.76465114999966E-16))!#12069=DIRECTION('',(0.610230093210945,0.79222423172973,1.35498299960389E-16))!#12070=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12071=DIRECTION('',(0.610230093210945,0.79222423172973,-1.76465114999966E-16))!#12072=DIRECTION('center_axis',(-0.792224231729728,-0.610230093210947,6.4388334812747E-17))!#12073=DIRECTION('ref_axis',(0.610230093210947,-0.792224231729729,4.47461714920745E-16))!#12074=DIRECTION('',(-0.610230093210947,0.792224231729728,-1.3549829996039E-16))!#12075=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12076=DIRECTION('',(-0.610230093210947,0.792224231729728,-4.47461714920744E-16))!#12077=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12078=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12079=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12080=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12081=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12082=DIRECTION('center_axis',(0.792347750037486,0.610069703403254,-6.4297749665697E-17))!#12083=DIRECTION('ref_axis',(-0.610069703403254,0.792347750037486,-4.47474740480063E-16))!#12084=DIRECTION('',(0.610069703403254,-0.792347750037486,1.35462686268907E-16))!#12085=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12086=DIRECTION('',(0.610069703403254,-0.792347750037486,4.47474740480063E-16))!#12087=DIRECTION('center_axis',(0.792336324702724,-0.610084542138693,4.16174142195034E-16))!#12088=DIRECTION('ref_axis',(0.610084542138693,0.792336324702724,-1.76541573993209E-16))!#12089=DIRECTION('',(-0.610084542138693,-0.792336324702724,-1.35465981130055E-16))!#12090=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12091=DIRECTION('',(-0.610084542138693,-0.792336324702724,1.76541573993209E-16))!#12092=DIRECTION('center_axis',(0.792224231729728,0.610230093210948,-6.43883348127475E-17))!#12093=DIRECTION('ref_axis',(-0.610230093210948,0.792224231729728,-4.47461714920745E-16))!#12094=DIRECTION('',(0.610230093210948,-0.792224231729728,1.3549829996039E-16))!#12095=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12096=DIRECTION('',(0.610230093210948,-0.792224231729728,4.47461714920744E-16))!#12097=DIRECTION('center_axis',(0.792212795079337,-0.610244940423585,4.16209875019981E-16))!#12098=DIRECTION('ref_axis',(0.610244940423585,0.792212795079337,-1.76457314712719E-16))!#12099=DIRECTION('',(-0.610244940423585,-0.792212795079337,-1.35501596703854E-16))!#12100=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12101=DIRECTION('',(-0.610244940423585,-0.792212795079337,1.76457314712719E-16))!#12102=DIRECTION('center_axis',(0.792336324702724,-0.610084542138693,4.16174142195034E-16))!#12103=DIRECTION('ref_axis',(0.610084542138693,0.792336324702724,-1.76541573993209E-16))!#12104=DIRECTION('',(-0.610084542138693,-0.792336324702724,-1.35465981130055E-16))!#12105=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12106=DIRECTION('',(-0.610084542138693,-0.792336324702724,1.76541573993209E-16))!#12107=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12108=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12109=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12110=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12111=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12112=DIRECTION('center_axis',(-0.792212795079337,0.610244940423584,-4.16209875019981E-16))!#12113=DIRECTION('ref_axis',(-0.610244940423584,-0.792212795079337,1.7645731471272E-16))!#12114=DIRECTION('',(0.610244940423584,0.792212795079337,1.35501596703854E-16))!#12115=DIRECTION('',(0.610244940423584,0.792212795079337,-1.7645731471272E-16))!#12116=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12117=DIRECTION('ref_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12118=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12119=DIRECTION('ref_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12120=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12121=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12122=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12123=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12124=DIRECTION('ref_axis',(0.710872254712009,0.70332114818244,-1.19109657490559E-16))!#12125=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12126=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12127=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12128=DIRECTION('ref_axis',(0.976656323382046,0.214807881600896,1.32273851914679E-16))!#12129=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12130=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12131=DIRECTION('ref_axis',(0.890068373519251,0.455827040071994,1.81385277350268E-17))!#12132=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12133=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12134=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12135=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12136=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12137=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12138=DIRECTION('center_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12139=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12140=DIRECTION('',(-1.80647945064699E-15,1.,-4.01119015924099E-31))!#12141=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12142=DIRECTION('',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12143=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12144=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12145=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12146=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12147=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12148=DIRECTION('center_axis',(-0.999999923061255,-0.00039227220677228, -2.21890118219698E-16))!#12149=DIRECTION('ref_axis',(0.00039227220677228,-0.999999923061255,3.93868784516139E-16))!#12150=DIRECTION('',(0.00039227220677228,-0.999999923061255,8.71019271758212E-20))!#12151=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12152=DIRECTION('',(-0.00039227220677228,0.999999923061255,-3.93868784516139E-16))!#12153=DIRECTION('center_axis',(-0.999999764732904,-0.000685954908551734, -2.2177443618639E-16))!#12154=DIRECTION('ref_axis',(0.000685954908551734,-0.999999764732904,3.9393393282882E-16))!#12155=DIRECTION('',(0.000685954908551734,-0.999999764732904,1.52312586665756E-19))!#12156=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12157=DIRECTION('',(-0.000685954908551734,0.999999764732904,-3.9393393282882E-16))!#12158=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12159=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12160=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12161=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12162=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12163=DIRECTION('center_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12164=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12165=DIRECTION('',(-1.80647945064699E-15,1.,-4.01119015924099E-31))!#12166=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12167=DIRECTION('',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12168=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12169=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12170=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12171=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12172=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12173=DIRECTION('center_axis',(0.999996358974094,0.00269852525554297,2.20981166557479E-16))!#12174=DIRECTION('ref_axis',(-0.00269852525554297,0.999996358974094,-3.94379472090863E-16))!#12175=DIRECTION('',(-0.00269852525554297,0.999996358974094,-5.99192974247259E-19))!#12176=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12177=DIRECTION('',(0.00269852525554297,-0.999996358974094,3.94379472090863E-16))!#12178=DIRECTION('center_axis',(0.99999327831726,0.0036665133708741,2.20599306496141E-16))!#12179=DIRECTION('ref_axis',(-0.0036665133708741,0.99999327831726,-3.94593195523179E-16))!#12180=DIRECTION('',(-0.0036665133708741,0.99999327831726,-8.14129512888084E-19))!#12181=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12182=DIRECTION('',(0.0036665133708741,-0.99999327831726,3.94593195523179E-16))!#12183=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12184=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12185=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12186=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12187=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12188=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12189=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12190=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12191=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12192=DIRECTION('ref_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12193=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12194=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12195=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12196=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12197=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12198=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12199=DIRECTION('ref_axis',(0.873827889860735,0.486235353405668,2.55817824677045E-18))!#12200=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12201=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12202=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12203=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12204=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12205=DIRECTION('center_axis',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12206=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12207=DIRECTION('',(1.80647945064699E-15,-1.,4.01119015924099E-31))!#12208=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12209=DIRECTION('',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12210=DIRECTION('center_axis',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12211=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12212=DIRECTION('',(1.80647945064699E-15,-1.,4.01119015924099E-31))!#12213=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12214=DIRECTION('',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12215=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12216=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12217=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12218=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12219=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12220=DIRECTION('center_axis',(-0.999996585717186,-0.00261315020063873, -2.21014836039917E-16))!#12221=DIRECTION('ref_axis',(0.00261315020063873,-0.999996585717186,3.9436060430781E-16))!#12222=DIRECTION('',(0.00261315020063873,-0.999996585717186,5.80235903910594E-19))!#12223=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12224=DIRECTION('',(-0.00261315020063873,0.999996585717186,-3.9436060430781E-16))!#12225=DIRECTION('center_axis',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12226=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12227=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12228=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12229=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12230=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12231=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12232=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12233=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12234=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12235=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12236=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12237=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12238=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12239=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12240=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12241=DIRECTION('center_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12242=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12243=DIRECTION('',(-1.80647945064699E-15,1.,-4.01119015924099E-31))!#12244=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12245=DIRECTION('',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12246=DIRECTION('center_axis',(-0.00141202909218254,-0.999999003086425, 3.93467786877782E-16))!#12247=DIRECTION('ref_axis',(0.999999003086425,-0.00141202909218254,2.22600414800315E-16))!#12248=DIRECTION('',(0.999999003086425,-0.00141202909218254,2.2204438356575E-16))!#12249=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12250=DIRECTION('',(-0.999999003086424,0.00141202909218254,-2.22600414800315E-16))!#12251=DIRECTION('center_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12252=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#12253=DIRECTION('',(-1.80647945064699E-15,1.,-4.01119015924099E-31))!#12254=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12255=DIRECTION('',(1.80647945064699E-15,-1.,3.93781712886034E-16))!#12256=DIRECTION('center_axis',(-0.00136496154498053,-0.999999068439557, 3.93478263707574E-16))!#12257=DIRECTION('ref_axis',(0.999999068439557,-0.00136496154498053,2.22581894972267E-16))!#12258=DIRECTION('',(0.999999068439556,-0.00136496154498053,2.22044398077061E-16))!#12259=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12260=DIRECTION('',(-0.999999068439556,0.00136496154498053,-2.22581894972267E-16))!#12261=DIRECTION('center_axis',(0.999999923076343,-0.00039223374117276, 2.22199042318999E-16))!#12262=DIRECTION('ref_axis',(0.00039223374117276,0.999999923076343,-3.93694589208808E-16))!#12263=DIRECTION('',(0.00039223374117276,0.999999923076343,8.70933860969725E-20))!#12264=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12265=DIRECTION('',(-0.00039223374117276,-0.999999923076343,3.93694589208808E-16))!#12266=DIRECTION('center_axis',(-1.80647945064699E-15,1.,-3.93781712886034E-16))!#12267=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12268=DIRECTION('',(-1.,-1.80647945064699E-15,-2.22044604925031E-16))!#12269=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12270=DIRECTION('',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12271=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12272=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12273=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#12274=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#12275=DIRECTION('ref_axis',(1.,1.80647945064699E-15,2.22044604925031E-16))!#12276=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12277=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12278=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12279=DIRECTION('',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#12280=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#12281=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12282=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12283=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12284=DIRECTION('',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#12285=DIRECTION('',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#12286=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12287=DIRECTION('ref_axis',(6.21861489022265E-16,1.,-2.42593183076438E-16))!#12288=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12289=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12290=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12291=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12292=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12293=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12294=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12295=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12296=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12297=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12298=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12299=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12300=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12301=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12302=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#12303=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12304=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12305=DIRECTION('',(0.707106781186547,0.707106781186548,1.43194564410109E-15))!#12306=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12307=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#12308=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12309=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12310=DIRECTION('',(-0.707106781186548,0.707106781186547,-8.58664561206726E-17))!#12311=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#12312=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12313=DIRECTION('',(0.707106781186548,-0.707106781186547,8.58664561206728E-17))!#12314=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12315=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#12316=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12317=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.43194564410109E-15))!#12318=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12319=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12320=DIRECTION('center_axis',(1.0732552286338E-15,9.51821721835035E-16, -1.))!#12321=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12322=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12323=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12324=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12325=DIRECTION('center_axis',(1.0732552286338E-15,9.51821721835035E-16, -1.))!#12326=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12327=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12328=DIRECTION('',(0.707106781186547,0.707106781186548,1.43194564410109E-15))!#12329=DIRECTION('',(-0.707106781186548,0.707106781186547,-8.58664561206726E-17))!#12330=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12331=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12332=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12333=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12334=DIRECTION('',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#12335=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#12336=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12337=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12338=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12339=DIRECTION('',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#12340=DIRECTION('',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#12341=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12342=DIRECTION('ref_axis',(1.77772279172202E-16,1.,-2.42593183076438E-16))!#12343=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12344=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12345=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12346=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12347=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12348=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12349=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12350=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12351=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12352=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12353=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12354=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12355=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12356=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713367E-17))!#12357=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#12358=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12359=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12360=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12361=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#12362=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12363=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12364=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#12365=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025504E-17, 1.))!#12366=DIRECTION('',(0.707106781186548,-0.707106781186547,8.58664561206728E-17))!#12367=DIRECTION('',(2.20974249135136E-16,-7.77540798025504E-17,-1.))!#12368=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#12369=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12370=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.43194564410109E-15))!#12371=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025504E-17, -1.))!#12372=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025505E-17))!#12373=DIRECTION('center_axis',(1.0732552286338E-15,9.51821721835035E-16, -1.))!#12374=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12375=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12376=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12377=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12378=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12379=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#12380=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12381=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#12382=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12383=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#12384=DIRECTION('',(-3.33270459361338E-16,1.,-3.61207163791078E-17))!#12385=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12386=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#12387=DIRECTION('center_axis',(0.,1.,0.))!#12388=DIRECTION('ref_axis',(1.,0.,1.77635683940025E-15))!#12389=DIRECTION('center_axis',(-1.3987061727561E-15,3.61207163791073E-17, 1.))!#12390=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-15))!#12391=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12392=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#12393=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12394=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#12395=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#12396=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#12397=DIRECTION('',(-3.33270459361338E-16,1.,-3.61207163791078E-17))!#12398=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12399=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#12400=DIRECTION('center_axis',(0.,1.,0.))!#12401=DIRECTION('ref_axis',(1.,0.,1.77635683940025E-15))!#12402=DIRECTION('center_axis',(-1.3987061727561E-15,3.61207163791073E-17, 1.))!#12403=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-15))!#12404=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12405=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12406=DIRECTION('center_axis',(9.10723037915299E-32,1.,-4.10153193419307E-16))!#12407=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12408=DIRECTION('center_axis',(9.10723037915299E-32,1.,-4.10153193419307E-16))!#12409=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12410=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12411=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12412=DIRECTION('',(-2.22044604925031E-16,4.10153193419307E-16,1.))!#12413=DIRECTION('center_axis',(9.10723037915299E-32,1.,-4.10153193419307E-16))!#12414=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#12415=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12416=DIRECTION('center_axis',(9.10723037915299E-32,1.,-4.10153193419307E-16))!#12417=DIRECTION('ref_axis',(0.707106781186549,6.87552547250485E-63,-0.707106781186546))!#12418=DIRECTION('',(2.22044604925031E-16,-4.10153193419307E-16,-1.))!#12419=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12420=DIRECTION('',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#12421=DIRECTION('',(-1.0732552286338E-15,-9.51821721835035E-16,1.))!#12422=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12423=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#12424=DIRECTION('ref_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12425=DIRECTION('',(-1.0732552286338E-15,-9.51821721835035E-16,1.))!#12426=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12427=DIRECTION('',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#12428=DIRECTION('center_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12429=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#12430=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#12431=DIRECTION('center_axis',(-1.0732552286338E-15,-9.51821721835035E-16, 1.))!#12432=DIRECTION('ref_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#12433=DIRECTION('',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#12434=DIRECTION('',(1.,3.49126755586593E-31,1.0732552286338E-15))!#12435=DIRECTION('center_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#12436=DIRECTION('ref_axis',(-1.0732552286338E-15,-9.51821721835035E-16, 1.))!#12437=DIRECTION('center_axis',(-9.10723037915299E-32,-1.,4.10153193419307E-16))!#12438=DIRECTION('ref_axis',(-2.22044604925031E-16,4.10153193419307E-16, 1.))!#12439=DIRECTION('',(2.22044604925031E-16,-4.10153193419307E-16,-1.))!#12440=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12441=DIRECTION('',(-2.22044604925031E-16,4.10153193419307E-16,1.))!#12442=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12443=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12444=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12445=DIRECTION('',(0.707106781186548,0.707106781186548,-5.97019008275581E-18))!#12446=DIRECTION('',(0.707106781186547,-0.707106781186548,3.16799607122649E-16))!#12447=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12448=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12449=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12450=DIRECTION('',(-0.707106781186548,-0.707106781186547,5.97019008275577E-18))!#12451=DIRECTION('',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#12452=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12453=DIRECTION('ref_axis',(8.99620797152344E-16,-1.,3.93071815640036E-16))!#12454=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12455=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12456=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12457=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12458=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12459=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12460=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12461=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12462=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12463=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12464=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12465=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12466=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12467=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12468=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,-5.97019008275577E-18))!#12469=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12470=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12471=DIRECTION('',(0.707106781186547,-0.707106781186548,8.12857383200838E-17))!#12472=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12473=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,3.16799607122649E-16))!#12474=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12475=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12476=DIRECTION('',(-0.707106781186548,-0.707106781186548,-2.2954367871981E-16))!#12477=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#12478=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12479=DIRECTION('',(0.707106781186548,0.707106781186547,2.2954367871981E-16))!#12480=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12481=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,5.97019008275572E-18))!#12482=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12483=DIRECTION('',(-0.707106781186547,0.707106781186548,-8.12857383200837E-17))!#12484=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12485=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12486=DIRECTION('center_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12487=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12488=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12489=DIRECTION('',(0.707106781186547,-0.707106781186548,8.12857383200838E-17))!#12490=DIRECTION('',(-0.707106781186547,-0.707106781186547,-2.2954367871981E-16))!#12491=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12492=DIRECTION('center_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12493=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12494=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12495=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12496=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12497=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12498=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12499=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12500=DIRECTION('',(0.707106781186548,0.707106781186548,-5.97019008275581E-18))!#12501=DIRECTION('',(0.707106781186547,-0.707106781186548,3.16799607122649E-16))!#12502=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12503=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12504=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12505=DIRECTION('',(-0.707106781186548,-0.707106781186547,5.97019008275577E-18))!#12506=DIRECTION('',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#12507=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12508=DIRECTION('ref_axis',(1.14423774522189E-17,-1.,3.93071815640036E-16))!#12509=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12510=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12511=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12512=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12513=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12514=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12515=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12516=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12517=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12518=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12519=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12520=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12521=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12522=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#12523=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,-5.97019008275577E-18))!#12524=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12525=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12526=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12527=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,3.16799607122649E-16))!#12528=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12529=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12530=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#12531=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#12532=DIRECTION('',(0.707106781186548,0.707106781186547,2.2954367871981E-16))!#12533=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#12534=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,5.97019008275572E-18))!#12535=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12536=DIRECTION('',(-0.707106781186547,0.707106781186548,-8.12857383200837E-17))!#12537=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#12538=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#12539=DIRECTION('center_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12540=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12541=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12542=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12543=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12544=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12545=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12546=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12547=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12548=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12549=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12550=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12551=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12552=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12553=DIRECTION('center_axis',(0.,1.,0.))!#12554=DIRECTION('ref_axis',(1.,0.,0.))!#12555=DIRECTION('center_axis',(0.,-1.04834195021397E-16,1.))!#12556=DIRECTION('ref_axis',(-1.,0.,0.))!#12557=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12558=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12559=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12560=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12561=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12562=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12563=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12564=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12565=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12566=DIRECTION('center_axis',(0.,1.,0.))!#12567=DIRECTION('ref_axis',(1.,0.,0.))!#12568=DIRECTION('center_axis',(0.,-1.04834195021397E-16,1.))!#12569=DIRECTION('ref_axis',(-1.,0.,0.))!#12570=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12571=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12572=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12573=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12574=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12575=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12576=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12577=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12578=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12579=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12580=DIRECTION('',(-2.1978958858117E-16,-1.04834195021397E-16,1.))!#12581=DIRECTION('',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#12582=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12583=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12584=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12585=DIRECTION('ref_axis',(0.707106781186548,6.87552547250485E-63,0.707106781186547))!#12586=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12587=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12588=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#12589=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12590=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12591=DIRECTION('',(-2.1978958858117E-16,-1.04834195021397E-16,1.))!#12592=DIRECTION('',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#12593=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12594=DIRECTION('center_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12595=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#12596=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#12597=DIRECTION('center_axis',(2.1978958858117E-16,1.04834195021397E-16, -1.))!#12598=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#12599=DIRECTION('',(-1.,-9.72346137165803E-63,-2.1978958858117E-16))!#12600=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#12601=DIRECTION('center_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#12602=DIRECTION('ref_axis',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#12603=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12604=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#12605=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12606=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12607=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12608=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12609=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12610=DIRECTION('ref_axis',(0.771460736347582,0.,-0.636276930490212))!#12611=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12612=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12613=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#12614=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#12615=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12616=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12617=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12618=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12619=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12620=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12621=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12622=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12623=DIRECTION('',(-0.59790473635796,0.342020143325669,-0.724935961171887))!#12624=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12625=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12626=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12627=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12628=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12629=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12630=DIRECTION('center_axis',(0.,-1.,0.))!#12631=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12632=DIRECTION('center_axis',(0.,-1.,0.))!#12633=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12634=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12635=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12636=DIRECTION('ref_axis',(0.771460736347583,0.,-0.636276930490212))!#12637=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12638=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12639=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#12640=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#12641=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12642=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12643=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12644=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12645=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12646=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12647=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12648=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12649=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12650=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12651=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12652=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12653=DIRECTION('',(-0.248613203082133,0.920504853452439,-0.301433724098259))!#12654=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12655=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12656=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12657=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#12658=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12659=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12660=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12661=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12662=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12663=DIRECTION('ref_axis',(0.771460736347583,0.,-0.636276930490212))!#12664=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12665=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12666=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#12667=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#12668=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12669=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12670=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12671=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12672=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12673=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12674=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12675=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#12676=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12677=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12678=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12679=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12680=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12681=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12682=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12683=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12684=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12685=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12686=DIRECTION('',(0.248613203082131,0.920504853452441,0.301433724098256))!#12687=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12688=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12689=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12690=DIRECTION('ref_axis',(0.771460736347583,0.,-0.636276930490212))!#12691=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#12692=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#12693=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12694=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#12695=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12696=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#12697=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#12698=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#12699=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12700=DIRECTION('ref_axis',(-1.80986193922334E-16,-0.985360223597725,0.170485277227802))!#12701=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12702=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12703=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12704=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12705=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#12706=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12707=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#12708=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12709=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12710=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#12711=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12712=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12713=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12714=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12715=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12716=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#12717=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12718=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#12719=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#12720=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#12721=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12722=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12723=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12724=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12725=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12726=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#12727=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12728=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12729=DIRECTION('',(0.342020143325669,-0.160203756963605,-0.925935730930735))!#12730=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12731=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12732=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12733=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12734=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12735=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12736=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12737=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12738=DIRECTION('ref_axis',(0.,-0.985360223597726,0.170485277227802))!#12739=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12740=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12741=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#12742=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#12743=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12744=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12745=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12746=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12747=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12748=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12749=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12750=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12751=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12752=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#12753=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12754=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#12755=DIRECTION('',(0.920504853452439,-0.0666139047620265,-0.385010912134785))!#12756=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12757=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#12758=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12759=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#12760=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12761=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12762=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12763=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12764=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12765=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#12766=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12767=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12768=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#12769=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#12770=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12771=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12772=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12773=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12774=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12775=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12776=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12777=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#12778=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12779=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12780=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12781=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12782=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12783=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12784=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12785=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12786=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12787=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12788=DIRECTION('',(0.920504853452439,0.0666139047620263,0.385010912134786))!#12789=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12790=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12791=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12792=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#12793=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#12794=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#12795=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12796=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#12797=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12798=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#12799=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#12800=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#12801=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12802=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12803=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12804=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12805=DIRECTION('',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12806=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12807=DIRECTION('ref_axis',(9.09159012291467E-17,1.22464679914735E-16, -1.))!#12808=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12809=DIRECTION('ref_axis',(9.09159012291467E-17,1.22464679914735E-16, -1.))!#12810=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12811=DIRECTION('ref_axis',(9.09159012291467E-17,1.22464679914735E-16, -1.))!#12812=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12813=DIRECTION('ref_axis',(0.,-1.,0.))!#12814=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12815=DIRECTION('ref_axis',(8.10467150711372E-17,1.22464679914735E-16, -1.))!#12816=DIRECTION('center_axis',(8.10467150711372E-17,0.,-1.))!#12817=DIRECTION('ref_axis',(0.,1.,0.))!#12818=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12819=DIRECTION('ref_axis',(8.10467150711372E-17,1.22464679914735E-16, -1.))!#12820=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12821=DIRECTION('ref_axis',(-4.02759896562899E-17,-1.,3.49869981579473E-33))!#12822=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12823=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12824=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12825=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12826=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12827=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12828=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12829=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12830=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12831=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12832=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12833=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12834=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12835=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12836=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12837=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12838=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12839=DIRECTION('ref_axis',(2.22044604925031E-16,-1.01064309961486E-15, -1.))!#12840=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12841=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12842=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12843=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12844=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12845=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12846=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12847=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12848=DIRECTION('center_axis',(1.,-4.11484361213324E-17,7.94954669158662E-17))!#12849=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12850=DIRECTION('center_axis',(-4.02759896562899E-17,-1.,3.02828769509223E-16))!#12851=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12852=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12853=DIRECTION('',(-8.10467150711372E-17,3.02828769509223E-16,1.))!#12854=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12855=DIRECTION('center_axis',(-2.12434263466501E-16,-0.5,0.866025403784439))!#12856=DIRECTION('ref_axis',(-7.61422722576093E-17,0.866025403784439,0.5))!#12857=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12858=DIRECTION('',(-5.64332733066226E-18,0.866025403784439,0.5))!#12859=DIRECTION('center_axis',(-1.72158273810211E-16,0.500000000000001, 0.866025403784438))!#12860=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12861=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12862=DIRECTION('',(7.54033877404749E-17,0.866025403784438,-0.500000000000001))!#12863=DIRECTION('center_axis',(-1.,4.15846593538537E-17,-7.97473205165891E-17))!#12864=DIRECTION('ref_axis',(-4.15846593538537E-17,-1.,3.20811676982181E-33))!#12865=DIRECTION('',(8.10467150711372E-17,-9.08486308527669E-16,-1.))!#12866=DIRECTION('',(5.64332733066223E-18,-0.866025403784439,-0.499999999999999))!#12867=DIRECTION('',(-7.54033877404749E-17,-0.866025403784438,0.5))!#12868=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12869=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12870=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12871=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12872=DIRECTION('center_axis',(4.02759896562901E-17,1.,-9.08486308527669E-16))!#12873=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12874=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12875=DIRECTION('center_axis',(2.12434263466501E-16,0.499999999999999, -0.866025403784439))!#12876=DIRECTION('ref_axis',(7.61422722576092E-17,-0.866025403784439,-0.499999999999999))!#12877=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12878=DIRECTION('center_axis',(1.72158273810211E-16,-0.5,-0.866025403784438))!#12879=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12880=DIRECTION('center_axis',(-1.,4.11484361213324E-17,-7.94954669158662E-17))!#12881=DIRECTION('ref_axis',(-4.11484361213324E-17,-1.,3.30497778514611E-33))!#12882=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12883=DIRECTION('ref_axis',(-2.22044604925031E-16,1.24417660269903E-32, 1.))!#12884=DIRECTION('',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12885=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12886=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12887=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12888=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12889=DIRECTION('',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12890=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12891=DIRECTION('ref_axis',(9.09159012291467E-17,-4.40687737790382E-17, -1.))!#12892=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12893=DIRECTION('ref_axis',(9.09159012291467E-17,-4.40687737790382E-17, -1.))!#12894=DIRECTION('center_axis',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12895=DIRECTION('ref_axis',(9.09159012291467E-17,-4.40687737790382E-17, -1.))!#12896=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12897=DIRECTION('ref_axis',(0.,-1.,0.))!#12898=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12899=DIRECTION('ref_axis',(8.10467150711372E-17,-4.40687737790382E-17, -1.))!#12900=DIRECTION('center_axis',(8.10467150711372E-17,0.,-1.))!#12901=DIRECTION('ref_axis',(0.,1.,0.))!#12902=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12903=DIRECTION('ref_axis',(8.10467150711372E-17,-4.40687737790382E-17, -1.))!#12904=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12905=DIRECTION('ref_axis',(-4.02759896562899E-17,-1.,1.66533453693774E-16))!#12906=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12907=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12908=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12909=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12910=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12911=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12912=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12913=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12914=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12915=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12916=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12917=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12918=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12919=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12920=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12921=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12922=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12923=DIRECTION('ref_axis',(2.22044604925031E-16,-1.17717655330863E-15, -1.))!#12924=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12925=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12926=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12927=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12928=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12929=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12930=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12931=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12932=DIRECTION('center_axis',(1.,-4.11484361213325E-17,7.94954669158662E-17))!#12933=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12934=DIRECTION('center_axis',(-4.027598965629E-17,-1.,4.69362223202997E-16))!#12935=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12936=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12937=DIRECTION('',(-8.10467150711372E-17,4.69362223202997E-16,1.))!#12938=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12939=DIRECTION('center_axis',(-2.12434263466501E-16,-0.5,0.866025403784439))!#12940=DIRECTION('ref_axis',(-7.61422722576092E-17,0.866025403784439,0.5))!#12941=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12942=DIRECTION('',(-5.64332733066218E-18,0.866025403784439,0.5))!#12943=DIRECTION('center_axis',(-1.72158273810211E-16,0.500000000000001, 0.866025403784438))!#12944=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12945=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12946=DIRECTION('',(7.5403387740475E-17,0.866025403784438,-0.500000000000001))!#12947=DIRECTION('center_axis',(-1.,4.15846593538537E-17,-7.97473205165891E-17))!#12948=DIRECTION('ref_axis',(-4.15846593538537E-17,-1.,1.66533453693774E-16))!#12949=DIRECTION('',(8.10467150711372E-17,-1.07501976222144E-15,-1.))!#12950=DIRECTION('',(5.64332733066215E-18,-0.866025403784439,-0.499999999999999))!#12951=DIRECTION('',(-7.5403387740475E-17,-0.866025403784438,0.5))!#12952=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12953=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12954=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12955=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12956=DIRECTION('center_axis',(4.02759896562901E-17,1.,-1.07501976222144E-15))!#12957=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12958=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12959=DIRECTION('center_axis',(2.12434263466501E-16,0.499999999999999, -0.866025403784439))!#12960=DIRECTION('ref_axis',(7.61422722576091E-17,-0.866025403784439,-0.499999999999999))!#12961=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12962=DIRECTION('center_axis',(1.72158273810211E-16,-0.5,-0.866025403784438))!#12963=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#12964=DIRECTION('center_axis',(-1.,4.11484361213325E-17,-7.94954669158662E-17))!#12965=DIRECTION('ref_axis',(-4.11484361213325E-17,-1.,1.66533453693774E-16))!#12966=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-8.10467150711372E-17))!#12967=DIRECTION('ref_axis',(-2.22044604925031E-16,1.66533453693774E-16, 1.))!#12968=DIRECTION('',(1.,-4.02759896562899E-17,8.10467150711372E-17))!#12969=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12970=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12971=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12972=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12973=DIRECTION('',(1.,-4.02759896562902E-17,8.10467150711372E-17))!#12974=DIRECTION('center_axis',(1.,-4.02759896562902E-17,8.10467150711372E-17))!#12975=DIRECTION('ref_axis',(9.09159012291466E-17,-2.09798136933558E-15, -1.))!#12976=DIRECTION('center_axis',(1.,-4.02759896562902E-17,8.10467150711372E-17))!#12977=DIRECTION('ref_axis',(9.09159012291466E-17,-2.09798136933558E-15, -1.))!#12978=DIRECTION('center_axis',(1.,-4.02759896562902E-17,8.10467150711372E-17))!#12979=DIRECTION('ref_axis',(9.09159012291466E-17,-2.09798136933558E-15, -1.))!#12980=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12981=DIRECTION('ref_axis',(0.,-1.,1.48029736616688E-15))!#12982=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12983=DIRECTION('ref_axis',(8.10467150711371E-17,-2.09798136933558E-15, -1.))!#12984=DIRECTION('center_axis',(8.10467150711371E-17,-2.3311769545935E-15, -1.))!#12985=DIRECTION('ref_axis',(0.,1.,-2.3311769545935E-15))!#12986=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12987=DIRECTION('ref_axis',(8.10467150711371E-17,-2.09798136933558E-15, -1.))!#12988=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12989=DIRECTION('ref_axis',(-4.02759896562903E-17,-1.,2.22044604925031E-15))!#12990=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12991=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12992=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#12993=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12994=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12995=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12996=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#12997=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#12998=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#12999=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13000=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#13001=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13002=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13003=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13004=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#13005=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13006=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13007=DIRECTION('ref_axis',(2.22044604925031E-16,-3.23108914886517E-15, -1.))!#13008=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#13009=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13010=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13011=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13012=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#13013=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13014=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13015=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13016=DIRECTION('center_axis',(1.,-4.11484361213327E-17,7.94954669158662E-17))!#13017=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13018=DIRECTION('center_axis',(-4.02759896562904E-17,-1.,2.52327481875954E-15))!#13019=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13020=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13021=DIRECTION('',(-8.10467150711371E-17,2.52327481875954E-15,1.))!#13022=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13023=DIRECTION('center_axis',(-2.12434263466502E-16,-0.499999999999998, 0.86602540378444))!#13024=DIRECTION('ref_axis',(-7.61422722576088E-17,0.86602540378444,0.499999999999998))!#13025=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13026=DIRECTION('',(-5.64332733066181E-18,0.86602540378444,0.499999999999998))!#13027=DIRECTION('center_axis',(-1.72158273810211E-16,0.500000000000003, 0.866025403784437))!#13028=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13029=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13030=DIRECTION('',(7.54033877404753E-17,0.866025403784437,-0.500000000000003))!#13031=DIRECTION('center_axis',(-1.,4.1584659353854E-17,-7.97473205165891E-17))!#13032=DIRECTION('ref_axis',(-4.15846593538541E-17,-1.,2.22044604925031E-15))!#13033=DIRECTION('',(8.10467150711371E-17,-3.12893235777798E-15,-1.))!#13034=DIRECTION('',(5.64332733066177E-18,-0.86602540378444,-0.499999999999997))!#13035=DIRECTION('',(-7.54033877404753E-17,-0.866025403784437,0.500000000000002))!#13036=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#13037=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13038=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#13039=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13040=DIRECTION('center_axis',(4.02759896562905E-17,1.,-3.12893235777798E-15))!#13041=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13042=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13043=DIRECTION('center_axis',(2.12434263466502E-16,0.499999999999998, -0.86602540378444))!#13044=DIRECTION('ref_axis',(7.61422722576088E-17,-0.86602540378444,-0.499999999999997))!#13045=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13046=DIRECTION('center_axis',(1.72158273810211E-16,-0.500000000000002, -0.866025403784437))!#13047=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13048=DIRECTION('center_axis',(-1.,4.11484361213327E-17,-7.94954669158662E-17))!#13049=DIRECTION('ref_axis',(-4.11484361213329E-17,-1.,2.22044604925031E-15))!#13050=DIRECTION('center_axis',(-1.,4.02759896562902E-17,-8.10467150711372E-17))!#13051=DIRECTION('ref_axis',(-2.22044604925031E-16,2.22044604925031E-15, 1.))!#13052=DIRECTION('',(1.,-4.02759896562902E-17,8.10467150711372E-17))!#13053=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13054=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13055=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#13056=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13057=DIRECTION('',(1.,-4.02759896562904E-17,8.10467150711372E-17))!#13058=DIRECTION('center_axis',(1.,-4.02759896562904E-17,8.10467150711372E-17))!#13059=DIRECTION('ref_axis',(9.09159012291465E-17,-3.29147112080762E-15, -1.))!#13060=DIRECTION('center_axis',(1.,-4.02759896562904E-17,8.10467150711372E-17))!#13061=DIRECTION('ref_axis',(9.09159012291465E-17,-3.29147112080762E-15, -1.))!#13062=DIRECTION('center_axis',(1.,-4.02759896562904E-17,8.10467150711372E-17))!#13063=DIRECTION('ref_axis',(9.09159012291465E-17,-3.29147112080762E-15, -1.))!#13064=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13065=DIRECTION('ref_axis',(0.,-1.,2.96059473233375E-15))!#13066=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13067=DIRECTION('ref_axis',(8.10467150711371E-17,-3.29147112080762E-15, -1.))!#13068=DIRECTION('center_axis',(8.10467150711371E-17,-3.49676543189026E-15, -1.))!#13069=DIRECTION('ref_axis',(0.,1.,-3.49676543189026E-15))!#13070=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13071=DIRECTION('ref_axis',(8.10467150711371E-17,-3.29147112080762E-15, -1.))!#13072=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13073=DIRECTION('ref_axis',(-4.02759896562907E-17,-1.,3.41393580072236E-15))!#13074=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13075=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13076=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13077=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13078=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13079=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13080=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13081=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13082=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13083=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13084=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13085=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13086=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13087=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13088=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13089=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13090=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13091=DIRECTION('ref_axis',(2.22044604925031E-16,-4.42457890033722E-15, -1.))!#13092=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13093=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13094=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13095=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13096=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13097=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13098=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13099=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13100=DIRECTION('center_axis',(1.,-4.11484361213329E-17,7.94954669158662E-17))!#13101=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13102=DIRECTION('center_axis',(-4.02759896562907E-17,-1.,3.71676457023158E-15))!#13103=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13104=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13105=DIRECTION('',(-8.1046715071137E-17,3.71676457023158E-15,1.))!#13106=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13107=DIRECTION('center_axis',(-2.12434263466502E-16,-0.499999999999997, 0.86602540378444))!#13108=DIRECTION('ref_axis',(-7.61422722576085E-17,0.866025403784441,0.499999999999997))!#13109=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13110=DIRECTION('',(-5.6433273306615E-18,0.866025403784441,0.499999999999997))!#13111=DIRECTION('center_axis',(-1.72158273810211E-16,0.500000000000004, 0.866025403784436))!#13112=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13113=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13114=DIRECTION('',(7.54033877404756E-17,0.866025403784436,-0.500000000000004))!#13115=DIRECTION('center_axis',(-1.,4.15846593538542E-17,-7.97473205165891E-17))!#13116=DIRECTION('ref_axis',(-4.15846593538545E-17,-1.,3.41393580072236E-15))!#13117=DIRECTION('',(8.1046715071137E-17,-4.32242210925003E-15,-1.))!#13118=DIRECTION('',(5.64332733066148E-18,-0.866025403784441,-0.499999999999996))!#13119=DIRECTION('',(-7.54033877404755E-17,-0.866025403784437,0.500000000000003))!#13120=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13121=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13122=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13123=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13124=DIRECTION('center_axis',(4.02759896562909E-17,1.,-4.32242210925003E-15))!#13125=DIRECTION('ref_axis',(-1.,4.02759896562899E-17,-2.22044604925031E-16))!#13126=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13127=DIRECTION('center_axis',(2.12434263466502E-16,0.499999999999997, -0.866025403784441))!#13128=DIRECTION('ref_axis',(7.61422722576085E-17,-0.866025403784441,-0.499999999999996))!#13129=DIRECTION('',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13130=DIRECTION('center_axis',(1.72158273810211E-16,-0.500000000000003, -0.866025403784437))!#13131=DIRECTION('ref_axis',(1.,-4.02759896562899E-17,2.22044604925031E-16))!#13132=DIRECTION('center_axis',(-1.,4.11484361213329E-17,-7.94954669158662E-17))!#13133=DIRECTION('ref_axis',(-4.11484361213332E-17,-1.,3.41393580072236E-15))!#13134=DIRECTION('center_axis',(-1.,4.02759896562904E-17,-8.10467150711372E-17))!#13135=DIRECTION('ref_axis',(-2.22044604925031E-16,3.41393580072236E-15, 1.))!#13136=DIRECTION('',(1.,-4.02759896562904E-17,8.10467150711372E-17))!#13137=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13138=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13139=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13140=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13141=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13142=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13143=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13144=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13145=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13146=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13147=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13148=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13149=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13150=DIRECTION('ref_axis',(4.30145838921961E-16,-6.49820616611688E-16, -1.))!#13151=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13152=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13153=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13154=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13155=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13156=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13157=DIRECTION('center_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13158=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13159=DIRECTION('center_axis',(1.,1.30692032138849E-15,3.03589404558699E-16))!#13160=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468899E-17, 1.))!#13161=DIRECTION('center_axis',(1.30757465623728E-15,-1.,3.86095496356113E-16))!#13162=DIRECTION('ref_axis',(1.,1.30757465623728E-15,4.3014583892196E-16))!#13163=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13164=DIRECTION('',(-2.48569102482327E-15,3.8609549635611E-16,1.))!#13165=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13166=DIRECTION('center_axis',(4.93781521479505E-16,-0.5,0.866025403784439))!#13167=DIRECTION('ref_axis',(-1.34746578910717E-15,0.866025403784439,0.5))!#13168=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13169=DIRECTION('',(-1.85243290169729E-15,0.866025403784439,0.5))!#13170=DIRECTION('center_axis',(-8.13793134757771E-16,0.500000000000001, 0.866025403784438))!#13171=DIRECTION('ref_axis',(-1.,-8.82551821838368E-16,-4.3014583892196E-16))!#13172=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13173=DIRECTION('',(6.33258123125982E-16,0.866025403784438,-0.500000000000001))!#13174=DIRECTION('center_axis',(-1.30757465623728E-15,1.,-9.91753035374559E-16))!#13175=DIRECTION('ref_axis',(-1.,-1.30757465623728E-15,-4.3014583892196E-16))!#13176=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13177=DIRECTION('',(2.48569102482327E-15,-9.91753035374556E-16,-1.))!#13178=DIRECTION('center_axis',(-4.93781521479505E-16,0.5,-0.866025403784439))!#13179=DIRECTION('ref_axis',(1.34746578910717E-15,-0.866025403784439,-0.499999999999999))!#13180=DIRECTION('',(1.,1.30757465623728E-15,1.84758791070012E-16))!#13181=DIRECTION('',(1.85243290169729E-15,-0.866025403784439,-0.499999999999999))!#13182=DIRECTION('center_axis',(8.1379313475777E-16,-0.5,-0.866025403784438))!#13183=DIRECTION('ref_axis',(1.,8.82551821838367E-16,4.3014583892196E-16))!#13184=DIRECTION('',(-6.33258123125981E-16,-0.866025403784438,0.5))!#13185=DIRECTION('center_axis',(-1.,-1.30692032138849E-15,-3.03589404558699E-16))!#13186=DIRECTION('ref_axis',(1.30692032138849E-15,-1.,8.32667268468904E-17))!#13187=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13188=DIRECTION('ref_axis',(1.,-1.84014437434436E-30,2.27758979082636E-15))!#13189=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#13190=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#13191=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13192=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13193=DIRECTION('ref_axis',(1.,-1.84014437434436E-30,2.27758979082636E-15))!#13194=DIRECTION('',(-2.6323874538207E-15,-7.87432174928969E-32,1.))!#13195=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#13196=DIRECTION('',(-1.,1.48405141442505E-30,-1.97341909914823E-15))!#13197=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#13198=DIRECTION('',(2.33899459582584E-15,7.81072656668522E-32,-1.))!#13199=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#13200=DIRECTION('ref_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13201=DIRECTION('center_axis',(-8.26232473546014E-31,1.,1.17070108876935E-15))!#13202=DIRECTION('ref_axis',(2.27758979082636E-15,1.17070108876935E-15, -1.))!#13203=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13204=DIRECTION('ref_axis',(1.,-1.84014437434436E-30,2.27758979082636E-15))!#13205=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#13206=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#13207=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784437))!#13208=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13209=DIRECTION('ref_axis',(1.,-1.84014437434436E-30,2.27758979082636E-15))!#13210=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13211=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13212=DIRECTION('',(1.,-1.00926080119931E-30,1.56785817691073E-15))!#13213=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#13214=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13215=DIRECTION('ref_axis',(2.27758979082636E-15,1.17070108876935E-15, -1.))!#13216=DIRECTION('',(-8.26232473546014E-31,1.,1.17070108876935E-15))!#13217=DIRECTION('center_axis',(0.612372435695795,0.707106781186546,0.353553390593276))!#13218=DIRECTION('ref_axis',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13219=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#13220=DIRECTION('',(0.377964473009224,-0.654653670707978,0.654653670707978))!#13221=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13222=DIRECTION('',(-0.755928946018454,0.654653670707978,4.9833087177367E-16))!#13223=DIRECTION('center_axis',(-1.39541802713076E-15,0.707106781186547, 0.707106781186548))!#13224=DIRECTION('ref_axis',(1.,-1.48405141442505E-30,1.97341909914823E-15))!#13225=DIRECTION('',(1.,-1.48405141442505E-30,1.97341909914823E-15))!#13226=DIRECTION('',(-1.,1.48405141442505E-30,-1.97341909914823E-15))!#13227=DIRECTION('',(-0.377964473009229,-0.654653670707978,0.654653670707976))!#13228=DIRECTION('center_axis',(-0.612372435695795,0.707106781186548,0.353553390593273))!#13229=DIRECTION('ref_axis',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#13230=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#13231=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#13232=DIRECTION('',(-0.755928946018454,-0.654653670707978,-1.67148013001806E-15))!#13233=DIRECTION('center_axis',(0.612372435695796,0.707106781186547,-0.353553390593272))!#13234=DIRECTION('ref_axis',(-0.499999999999999,1.01385688311236E-15,-0.86602540378444))!#13235=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.866025403784439))!#13236=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.866025403784439))!#13237=DIRECTION('',(0.377964473009227,-0.654653670707977,-0.654653670707978))!#13238=DIRECTION('center_axis',(-0.612372435695794,0.707106781186548,-0.353553390593274))!#13239=DIRECTION('ref_axis',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#13240=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#13241=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13242=DIRECTION('',(-0.377964473009225,-0.654653670707976,-0.654653670707979))!#13243=DIRECTION('center_axis',(1.10864314883235E-15,0.707106781186549, -0.707106781186546))!#13244=DIRECTION('ref_axis',(-1.,1.00926080119931E-30,-1.56785817691073E-15))!#13245=DIRECTION('',(-1.,1.00926080119931E-30,-1.56785817691073E-15))!#13246=DIRECTION('',(1.,-1.00926080119931E-30,1.56785817691073E-15))!#13247=DIRECTION('center_axis',(1.56785817691073E-15,1.17070108876935E-15, -1.))!#13248=DIRECTION('ref_axis',(1.,-1.00926080119931E-30,1.56785817691073E-15))!#13249=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13250=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13251=DIRECTION('center_axis',(0.86602540378444,5.85350544384675E-16,-0.499999999999999))!#13252=DIRECTION('ref_axis',(0.499999999999998,-1.01385688311236E-15,0.866025403784439))!#13253=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13254=DIRECTION('',(0.499999999999998,1.08378886655757E-18,0.866025403784439))!#13255=DIRECTION('center_axis',(0.866025403784438,-5.85350544384678E-16, 0.500000000000002))!#13256=DIRECTION('ref_axis',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#13257=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13258=DIRECTION('',(-0.500000000000002,-1.0837888665577E-18,0.866025403784438))!#13259=DIRECTION('center_axis',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13260=DIRECTION('ref_axis',(1.,-1.84014437434436E-30,2.27758979082636E-15))!#13261=DIRECTION('center_axis',(-0.866025403784438,5.85350544384677E-16, -0.500000000000002))!#13262=DIRECTION('ref_axis',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#13263=DIRECTION('',(0.500000000000002,1.0837888665577E-18,-0.866025403784438))!#13264=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13265=DIRECTION('center_axis',(-0.86602540378444,-5.85350544384675E-16, 0.499999999999998))!#13266=DIRECTION('ref_axis',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#13267=DIRECTION('',(-0.499999999999998,-1.08378886655757E-18,-0.86602540378444))!#13268=DIRECTION('',(8.26232473546014E-31,-1.,-1.17070108876935E-15))!#13269=DIRECTION('center_axis',(-1.97341909914823E-15,-1.17070108876935E-15, 1.))!#13270=DIRECTION('ref_axis',(-1.,1.48405141442505E-30,-1.97341909914823E-15))!#13271=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#13272=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13273=DIRECTION('',(-2.6323874538207E-15,-7.87432174928969E-32,1.))!#13274=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13275=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#13276=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#13277=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13278=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#13279=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13280=DIRECTION('',(2.33899459582584E-15,7.81072656668522E-32,-1.))!#13281=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#13282=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13283=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13284=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#13285=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#13286=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13287=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#13288=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13289=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13290=DIRECTION('ref_axis',(2.3591542195563E-15,-0.315821844759942,-0.94881850865823))!#13291=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13292=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13293=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13294=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13295=DIRECTION('ref_axis',(2.3591542195563E-15,-0.315821844759942,-0.94881850865823))!#13296=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13297=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13298=DIRECTION('ref_axis',(2.3591542195563E-15,-0.315821844759942,-0.94881850865823))!#13299=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13300=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13301=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13302=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13303=DIRECTION('ref_axis',(2.3591542195563E-15,-0.315821844759942,-0.94881850865823))!#13304=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13305=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#13306=DIRECTION('ref_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13307=DIRECTION('',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#13308=DIRECTION('',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#13309=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.33899459582584E-15))!#13310=DIRECTION('ref_axis',(2.33899459582584E-15,7.81072656668522E-32, -1.))!#13311=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.33899459582584E-15))!#13312=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13313=DIRECTION('',(-2.87393020325877E-17,0.999935468816779,0.0113603786102149))!#13314=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.6323874538207E-15))!#13315=DIRECTION('ref_axis',(-2.6323874538207E-15,-7.87432174928969E-32, 1.))!#13316=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.6323874538207E-15))!#13317=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13318=DIRECTION('',(3.20723559809423E-17,-0.999935468816779,-0.0113603786102149))!#13319=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13320=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13321=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13322=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13323=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13324=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13325=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13326=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#13327=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13328=DIRECTION('center_axis',(-2.4855059957366E-15,-0.0113603786102149, 0.99993546881678))!#13329=DIRECTION('ref_axis',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#13330=DIRECTION('',(-3.0405829006765E-17,0.999935468816779,0.0113603786102149))!#13331=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13332=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13333=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13334=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13335=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#13336=DIRECTION('center_axis',(-2.4855059957366E-15,-0.0113603786102149, 0.99993546881678))!#13337=DIRECTION('ref_axis',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#13338=DIRECTION('',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#13339=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13340=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13341=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13342=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13343=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13344=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#13345=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13346=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13347=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#13348=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13349=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13350=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13351=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13352=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13353=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13354=DIRECTION('',(-2.44828404117055E-15,-0.171976570858542,0.985101040033832))!#13355=DIRECTION('',(-1.59620030536379E-15,0.767134240534497,0.641486599236149))!#13356=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13357=DIRECTION('ref_axis',(-2.44864408014248E-15,-0.171148966852045,0.985245162964771))!#13358=DIRECTION('center_axis',(4.29615901742207E-16,-0.985101040033832, -0.171976570858542))!#13359=DIRECTION('ref_axis',(2.44828404117055E-15,0.171976570858542,-0.985101040033832))!#13360=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13361=DIRECTION('',(2.44828404117055E-15,0.171976570858542,-0.985101040033832))!#13362=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13363=DIRECTION('center_axis',(-1.90546822446262E-15,-0.641486599236149, 0.767134240534498))!#13364=DIRECTION('ref_axis',(1.59620030536379E-15,-0.767134240534497,-0.641486599236149))!#13365=DIRECTION('',(1.59620030536379E-15,-0.767134240534497,-0.641486599236149))!#13366=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#13367=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13368=DIRECTION('ref_axis',(-2.44864408014248E-15,-0.171148966852045,0.985245162964771))!#13369=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13370=DIRECTION('ref_axis',(-2.44864408014248E-15,-0.171148966852045,0.985245162964771))!#13371=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13372=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#13373=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#13374=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13375=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13376=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13377=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13378=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13379=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13380=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13381=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13382=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13383=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13384=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13385=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13386=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13387=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13388=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13389=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13390=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13391=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13392=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13393=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13394=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13395=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13396=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13397=DIRECTION('ref_axis',(1.54893231767418E-17,-0.996312018563967,-0.0858042054038872))!#13398=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13399=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13400=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13401=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13402=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13403=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13404=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13405=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13406=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13407=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13408=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13409=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13410=DIRECTION('ref_axis',(-2.01788594923095E-15,-0.387979899497489,0.921667834735442))!#13411=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13412=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13413=DIRECTION('ref_axis',(-2.01788594923095E-15,-0.387979899497489,0.921667834735442))!#13414=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13415=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13416=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13417=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13418=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#13419=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13420=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13421=DIRECTION('',(-6.33258123125981E-16,-0.866025403784438,0.5))!#13422=DIRECTION('',(-2.48569102482327E-15,-1.82395877350184E-15,1.))!#13423=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13424=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13425=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13426=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#13427=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13428=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13429=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13430=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13431=DIRECTION('',(2.48569102482327E-15,1.82395877350184E-15,-1.))!#13432=DIRECTION('',(6.33258123125981E-16,0.866025403784438,-0.5))!#13433=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13434=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#13435=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13436=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13437=DIRECTION('',(-1.84996144657058E-15,0.866710343988171,0.498811767727973))!#13438=DIRECTION('',(-2.48569102482327E-15,-1.39286327462858E-15,1.))!#13439=DIRECTION('center_axis',(1.80326506574165E-15,0.498811767727973, -0.866710343988171))!#13440=DIRECTION('ref_axis',(1.84996144657058E-15,-0.866710343988171,-0.498811767727973))!#13441=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13442=DIRECTION('',(1.84996144657058E-15,-0.866710343988171,-0.498811767727973))!#13443=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13444=DIRECTION('center_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#13445=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13446=DIRECTION('',(2.48569102482327E-15,1.39286327462858E-15,-1.))!#13447=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13448=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#13449=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13450=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13451=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13452=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#13453=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#13454=DIRECTION('center_axis',(7.03890886597354E-16,-1.,-1.82395877350184E-15))!#13455=DIRECTION('ref_axis',(-2.48569102482327E-15,-1.82395877350184E-15, 1.))!#13456=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#13457=DIRECTION('center_axis',(2.5046170167546E-15,-0.5,-0.866025403784438))!#13458=DIRECTION('ref_axis',(-6.33258123125981E-16,-0.866025403784438,0.5))!#13459=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13460=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13461=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13462=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13463=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13464=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13465=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13466=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13467=DIRECTION('ref_axis',(1.30376857209353E-17,-0.997388495749106,-0.0722231856631571))!#13468=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13469=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13470=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13471=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13472=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13473=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13474=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13475=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13476=DIRECTION('center_axis',(-5.78409052676548E-32,-1.,8.3266726846887E-17))!#13477=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13478=DIRECTION('',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13479=DIRECTION('center_axis',(-5.78409052676548E-32,-1.,8.3266726846887E-17))!#13480=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13481=DIRECTION('',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13482=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13483=DIRECTION('ref_axis',(-1.70048230103391E-16,8.3266726846887E-17, 1.))!#13484=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13485=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13486=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13487=DIRECTION('ref_axis',(1.20922465041836E-17,-0.997753924987495,-0.0669858580003924))!#13488=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13489=DIRECTION('ref_axis',(1.20922465041836E-17,-0.997753924987495,-0.0669858580003924))!#13490=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13491=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13492=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13493=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13494=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13495=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13496=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13497=DIRECTION('ref_axis',(-1.70048230103391E-16,8.3266726846887E-17, 1.))!#13498=DIRECTION('center_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13499=DIRECTION('ref_axis',(-1.80519394169928E-16,8.3266726846887E-17, 1.))!#13500=DIRECTION('',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13501=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13502=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13503=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13504=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13505=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13506=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13507=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13508=DIRECTION('center_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13509=DIRECTION('ref_axis',(-1.80519394169928E-16,8.3266726846887E-17, 1.))!#13510=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13511=DIRECTION('',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13512=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13513=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13514=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#13515=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13516=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13517=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13518=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13519=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#13520=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13521=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#13522=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13523=DIRECTION('ref_axis',(-1.70048230103391E-16,8.3266726846887E-17, 1.))!#13524=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#13525=DIRECTION('ref_axis',(-1.70048230103391E-16,8.3266726846887E-17, 1.))!#13526=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13527=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13528=DIRECTION('',(-1.,-7.30409884621813E-17,-2.22044604925031E-16))!#13529=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13530=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13531=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13532=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13533=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13534=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13535=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13536=DIRECTION('ref_axis',(-7.30409884621813E-17,1.,-1.62183574264188E-32))!#13537=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13538=DIRECTION('ref_axis',(-7.30409884621814E-17,1.,-1.62183574264188E-32))!#13539=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13540=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13541=DIRECTION('',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13542=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13543=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13544=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13545=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13546=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13547=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13548=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13549=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13550=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13551=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13552=DIRECTION('',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13553=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13554=DIRECTION('ref_axis',(2.21795116604891E-16,0.,-1.))!#13555=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13556=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13557=DIRECTION('center_axis',(7.30409884621814E-17,-1.,-1.22464679914735E-16))!#13558=DIRECTION('ref_axis',(-2.24539488126436E-16,-1.22464679914735E-16, 1.))!#13559=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13560=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13561=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13562=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13563=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13564=DIRECTION('ref_axis',(-7.30409884621814E-17,1.,-1.62183574264188E-32))!#13565=DIRECTION('',(-0.342020143325669,-0.939692620785908,-1.91022883623936E-16))!#13566=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13567=DIRECTION('ref_axis',(0.,1.,0.))!#13568=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13569=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13570=DIRECTION('center_axis',(-1.,-7.30409884621814E-17,-2.22044604925031E-16))!#13571=DIRECTION('ref_axis',(0.,1.,0.))!#13572=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13573=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13574=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13575=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13576=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13577=DIRECTION('ref_axis',(0.,1.,0.))!#13578=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13579=DIRECTION('ref_axis',(0.,1.,0.))!#13580=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13581=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13582=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13583=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13584=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13585=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13586=DIRECTION('ref_axis',(0.,1.,0.))!#13587=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13588=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13589=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13590=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13591=DIRECTION('center_axis',(1.,7.30409884621814E-17,2.22044604925031E-16))!#13592=DIRECTION('ref_axis',(2.68568236433133E-16,0.,-1.))!#13593=DIRECTION('center_axis',(7.30409884621814E-17,-1.,-1.22464679914735E-16))!#13594=DIRECTION('ref_axis',(-2.11471052309554E-16,-1.22464679914735E-16, 1.))!#13595=DIRECTION('center_axis',(0.,1.,0.))!#13596=DIRECTION('ref_axis',(-1.,0.,-2.22044604925031E-16))!#13597=DIRECTION('center_axis',(0.,1.,0.))!#13598=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13599=DIRECTION('center_axis',(0.,1.,0.))!#13600=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13601=DIRECTION('center_axis',(0.,1.,0.))!#13602=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13603=DIRECTION('',(6.02851240063062E-18,-0.998165806269696,-0.0605394350317829))!#13604=DIRECTION('center_axis',(0.,1.,0.))!#13605=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13606=DIRECTION('center_axis',(0.,1.,0.))!#13607=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13608=DIRECTION('center_axis',(0.,1.,0.))!#13609=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13610=DIRECTION('',(0.,-1.,0.))!#13611=DIRECTION('center_axis',(0.,1.,0.))!#13612=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13613=DIRECTION('center_axis',(0.,-1.,0.))!#13614=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#13615=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13616=DIRECTION('ref_axis',(-2.63020417305212E-16,1.,-5.84022646477526E-32))!#13617=DIRECTION('',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13618=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13619=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13620=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13621=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13622=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13623=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13624=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13625=DIRECTION('ref_axis',(0.,0.,-1.))!#13626=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13627=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13628=DIRECTION('center_axis',(2.63020417305212E-16,-1.,-1.11720555937123E-16))!#13629=DIRECTION('ref_axis',(0.,-1.11720555937123E-16,1.))!#13630=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13631=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13632=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13633=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13634=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13635=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13636=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13637=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13638=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13639=DIRECTION('ref_axis',(0.,0.,-1.))!#13640=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13641=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13642=DIRECTION('center_axis',(2.63020417305212E-16,-1.,-1.12291088212673E-16))!#13643=DIRECTION('ref_axis',(-3.59331482280554E-16,-1.12291088212673E-16, 1.))!#13644=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13645=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13646=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13647=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13648=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13649=DIRECTION('ref_axis',(-2.63020417305212E-16,1.,-5.84022646477526E-32))!#13650=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13651=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13652=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13653=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13654=DIRECTION('',(-0.431736418937246,0.901999814059428,1.45983759372702E-17))!#13655=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13656=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13657=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13658=DIRECTION('ref_axis',(3.84748863302627E-16,0.,-1.))!#13659=DIRECTION('center_axis',(2.63020417305212E-16,-1.,-1.13606947825579E-16))!#13660=DIRECTION('ref_axis',(-3.0295186086821E-16,-1.13606947825579E-16, 1.))!#13661=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13662=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13663=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13664=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13665=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13666=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13667=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13668=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13669=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13670=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13671=DIRECTION('center_axis',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13672=DIRECTION('ref_axis',(-2.63020417305212E-16,1.,-5.84022646477526E-32))!#13673=DIRECTION('',(-0.34202014332567,-0.939692620785908,-1.91022883623936E-16))!#13674=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13675=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13676=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13677=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13678=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13679=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13680=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13681=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13682=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13683=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13684=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13685=DIRECTION('ref_axis',(0.,1.,0.))!#13686=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13687=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13688=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13689=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13690=DIRECTION('',(-0.342020143325668,-0.939692620785908,3.91354284216344E-17))!#13691=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13692=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13693=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13694=DIRECTION('ref_axis',(0.,1.,0.))!#13695=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13696=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13697=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13698=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13699=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13700=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13701=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13702=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13703=DIRECTION('ref_axis',(-2.20802744718516E-16,0.,1.))!#13704=DIRECTION('center_axis',(2.71926214689378E-32,1.,-1.22464679914735E-16))!#13705=DIRECTION('ref_axis',(2.2353483717285E-16,-1.22464679914735E-16, -1.))!#13706=DIRECTION('center_axis',(-1.,-1.94775969232484E-17,-2.22044604925031E-16))!#13707=DIRECTION('ref_axis',(0.,1.,0.))!#13708=DIRECTION('',(0.86602540378444,0.499999999999998,2.53528608595724E-16))!#13709=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13710=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13711=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13712=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13713=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13714=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13715=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13716=DIRECTION('ref_axis',(3.22281883719761E-16,0.,-1.))!#13717=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13718=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13719=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13720=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13721=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13722=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13723=DIRECTION('center_axis',(-1.94775969232484E-17,1.,1.26882631385733E-16))!#13724=DIRECTION('ref_axis',(-2.53765262771465E-16,-1.26882631385733E-16, 1.))!#13725=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13726=DIRECTION('ref_axis',(0.,1.,0.))!#13727=DIRECTION('',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13728=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13729=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13730=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13731=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13732=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13733=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13734=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13735=DIRECTION('ref_axis',(3.2228188371976E-16,0.,-1.))!#13736=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13737=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13738=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13739=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13740=DIRECTION('center_axis',(1.,1.94775969232484E-17,2.22044604925031E-16))!#13741=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13742=DIRECTION('center_axis',(-1.94775969232484E-17,1.,1.26882631385732E-16))!#13743=DIRECTION('ref_axis',(-2.53765262771464E-16,-1.26882631385732E-16, 1.))!#13744=DIRECTION('center_axis',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13745=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13746=DIRECTION('',(-2.22044604925031E-16,6.13467251790762E-16,1.))!#13747=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13748=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13749=DIRECTION('',(2.22044604925031E-16,-6.13467251790762E-16,-1.))!#13750=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13751=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13752=DIRECTION('center_axis',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13753=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13754=DIRECTION('',(-2.22044604925031E-16,6.13467251790762E-16,1.))!#13755=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13756=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13757=DIRECTION('',(2.22044604925031E-16,-6.13467251790762E-16,-1.))!#13758=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13759=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13760=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13761=DIRECTION('ref_axis',(0.,1.,0.))!#13762=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13763=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13764=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13765=DIRECTION('',(1.,0.,2.22044604925031E-16))!#13766=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13767=DIRECTION('ref_axis',(0.,1.,0.))!#13768=DIRECTION('',(1.,0.,2.22044604925031E-16))!#13769=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13770=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13771=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#13772=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13773=DIRECTION('ref_axis',(-2.63020417305212E-16,1.,-5.84022646477526E-32))!#13774=DIRECTION('',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13775=DIRECTION('',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13776=DIRECTION('center_axis',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13777=DIRECTION('ref_axis',(-2.63020417305212E-16,1.,-5.84022646477526E-32))!#13778=DIRECTION('',(1.,2.63020417305212E-16,2.22044604925031E-16))!#13779=DIRECTION('',(-1.,-2.63020417305212E-16,-2.22044604925031E-16))!#13780=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13781=DIRECTION('ref_axis',(0.,1.,0.))!#13782=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13783=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13784=DIRECTION('',(-0.342020143325669,0.939692620785908,3.91354284216342E-17))!#13785=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13786=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13787=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13788=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13789=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13790=DIRECTION('ref_axis',(-2.91093314327525E-16,6.0037996080052E-16, 1.))!#13791=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13792=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13793=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13794=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13795=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13796=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13797=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13798=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13799=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13800=DIRECTION('ref_axis',(-2.91093314327525E-16,6.0037996080052E-16, 1.))!#13801=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13802=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13803=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13804=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13805=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13806=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13807=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13808=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13809=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13810=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13811=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13812=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13813=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13814=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13815=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13816=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13817=DIRECTION('center_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13818=DIRECTION('ref_axis',(-2.22044604925031E-16,6.13467251790762E-16, 1.))!#13819=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13820=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13821=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13822=DIRECTION('center_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13823=DIRECTION('ref_axis',(-2.22044604925031E-16,6.13467251790762E-16, 1.))!#13824=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13825=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13826=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13827=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13828=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13829=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13830=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13831=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13832=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13833=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13834=DIRECTION('ref_axis',(-2.91093314327525E-16,6.0037996080052E-16, 1.))!#13835=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13836=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13837=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13838=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13839=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13840=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13841=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13842=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13843=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13844=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13845=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13846=DIRECTION('ref_axis',(7.67419282652604E-16,-0.996226969200806,-0.0867860924167921))!#13847=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13848=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13849=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13850=DIRECTION('ref_axis',(7.67419282652604E-16,-0.996226969200806,-0.0867860924167921))!#13851=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13852=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13853=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13854=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13855=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13856=DIRECTION('ref_axis',(-2.91093314327525E-16,6.0037996080052E-16, 1.))!#13857=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13858=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13859=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13860=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13861=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13862=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13863=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13864=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13865=DIRECTION('center_axis',(7.50982378693392E-16,-1.,6.13467251790762E-16))!#13866=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13867=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13868=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13869=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13870=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13871=DIRECTION('ref_axis',(7.68803648834586E-16,-0.995398376840566,-0.0958231255134536))!#13872=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13873=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13874=DIRECTION('ref_axis',(7.68803648834586E-16,-0.995398376840566,-0.0958231255134538))!#13875=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13876=DIRECTION('center_axis',(7.50982378693392E-16,-1.,6.13467251790762E-16))!#13877=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#13878=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13879=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13880=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13881=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13882=DIRECTION('center_axis',(-3.87687998961288E-16,-0.500000000000002, -0.866025403784437))!#13883=DIRECTION('ref_axis',(2.05247287074426E-15,-0.866025403784437,0.500000000000002))!#13884=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13885=DIRECTION('',(2.05247287074426E-15,-0.866025403784437,0.500000000000002))!#13886=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13887=DIRECTION('',(-2.05247287074426E-15,0.866025403784437,-0.500000000000002))!#13888=DIRECTION('center_axis',(1.58364964716226E-15,-1.,6.06528357886855E-16))!#13889=DIRECTION('ref_axis',(1.36198409121496E-15,6.06528357886857E-16, 1.))!#13890=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#13891=DIRECTION('',(1.36198409121496E-15,6.06528357886857E-16,1.))!#13892=DIRECTION('',(-1.36198409121496E-15,-6.06528357886857E-16,-1.))!#13893=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13894=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13895=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13896=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13897=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13898=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13899=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13900=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13901=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13902=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13903=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13904=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13905=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13906=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13907=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#13908=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13909=DIRECTION('ref_axis',(1.96983190489516E-15,-0.498116129032257,0.867110328619098))!#13910=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13911=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13912=DIRECTION('',(-1.36198409121496E-15,-6.06528357886857E-16,-1.))!#13913=DIRECTION('',(6.93191838292436E-16,-0.866710343988172,-0.498811767727972))!#13914=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#13915=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13916=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13917=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13918=DIRECTION('',(1.36198409121496E-15,6.06528357886857E-16,1.))!#13919=DIRECTION('center_axis',(-1.97038878016613E-15,0.498811767727972, -0.866710343988172))!#13920=DIRECTION('ref_axis',(6.93191838292436E-16,-0.866710343988172,-0.498811767727972))!#13921=DIRECTION('',(-6.93191838292436E-16,0.866710343988172,0.498811767727972))!#13922=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13923=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#13924=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13925=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13926=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13927=DIRECTION('ref_axis',(1.96983190489516E-15,-0.498116129032257,0.867110328619098))!#13928=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13929=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#13930=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13931=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13932=DIRECTION('',(1.36198409121496E-15,9.16296021299987E-16,1.))!#13933=DIRECTION('',(-6.90488779529298E-16,0.866025403784439,0.499999999999999))!#13934=DIRECTION('',(-2.05247287074426E-15,0.866025403784438,-0.500000000000001))!#13935=DIRECTION('',(-1.36198409121496E-15,-1.52195356031843E-15,-1.))!#13936=DIRECTION('',(6.90488779529298E-16,-0.866025403784439,-0.499999999999999))!#13937=DIRECTION('',(2.05247287074426E-15,-0.866025403784438,0.500000000000001))!#13938=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13939=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#13940=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#13941=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13942=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#13943=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#13944=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13945=DIRECTION('ref_axis',(7.69469624193895E-16,-0.994955038775115,-0.100321836187393))!#13946=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13947=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13948=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13949=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13950=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#13951=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#13952=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13953=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13954=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13955=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13956=DIRECTION('ref_axis',(1.77709637070278E-15,-0.21345545304019,0.976952798024248))!#13957=DIRECTION('',(7.76247069727543E-17,-0.706906571765703,-0.707306933936365))!#13958=DIRECTION('',(-1.83301865764402E-15,0.259092487178921,-0.965852516219449))!#13959=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13960=DIRECTION('ref_axis',(1.77709637070278E-15,-0.21345545304019,0.976952798024248))!#13961=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#13962=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#13963=DIRECTION('ref_axis',(1.77709637070278E-15,-0.21345545304019,0.976952798024248))!#13964=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13965=DIRECTION('center_axis',(2.16140427630725E-15,-0.707306933936365, 0.706906571765703))!#13966=DIRECTION('ref_axis',(7.76247069727543E-17,-0.706906571765703,-0.707306933936365))!#13967=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#13968=DIRECTION('',(-7.76247069727543E-17,0.706906571765703,0.707306933936365))!#13969=DIRECTION('center_axis',(1.14792710635336E-15,-0.965852516219449, -0.259092487178921))!#13970=DIRECTION('ref_axis',(-1.83301865764402E-15,0.259092487178921,-0.965852516219449))!#13971=DIRECTION('',(1.83301865764402E-15,-0.259092487178921,0.965852516219449))!#13972=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13973=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13974=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13975=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13976=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13977=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#13978=DIRECTION('',(-1.47300639367748E-15,-3.62123525610181E-17,-1.))!#13979=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#13980=DIRECTION('ref_axis',(-7.10847865381536E-16,-0.402568407579211,-0.915389904477397))!#13981=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#13982=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13983=DIRECTION('',(1.47300639367748E-15,3.62123525610181E-17,1.))!#13984=DIRECTION('',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#13985=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#13986=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13987=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13988=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13989=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13990=DIRECTION('',(1.47300639367748E-15,3.62123525610181E-17,1.))!#13991=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13992=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#13993=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13994=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13995=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13996=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13997=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13998=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#13999=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#14000=DIRECTION('center_axis',(1.4909021984097E-15,-0.0113603786102149, 0.99993546881678))!#14001=DIRECTION('ref_axis',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#14002=DIRECTION('',(1.56848006902345E-15,-0.999935468816779,-0.0113603786102149))!#14003=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#14004=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14005=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14006=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#14007=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#14008=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14009=DIRECTION('',(-1.56681354204928E-15,0.999935468816779,0.0113603786102149))!#14010=DIRECTION('',(-1.47300639367748E-15,-3.62123525610181E-17,-1.))!#14011=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14012=DIRECTION('ref_axis',(-7.10847865381536E-16,-0.402568407579211,-0.915389904477397))!#14013=DIRECTION('center_axis',(1.4909021984097E-15,-0.0113603786102149, 0.99993546881678))!#14014=DIRECTION('ref_axis',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#14015=DIRECTION('',(-1.5651470150751E-15,0.999935468816779,0.0113603786102149))!#14016=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14017=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#14018=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14019=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14020=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.32630996468005E-15))!#14021=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14022=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.61970282267491E-15))!#14023=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14024=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.32630996468005E-15))!#14025=DIRECTION('ref_axis',(1.32630996468005E-15,3.62123525610179E-17, 1.))!#14026=DIRECTION('',(1.32630996468005E-15,3.62123525610179E-17,1.))!#14027=DIRECTION('',(1.32630996468005E-15,3.62123525610179E-17,1.))!#14028=DIRECTION('',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#14029=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.61970282267491E-15))!#14030=DIRECTION('ref_axis',(-1.61970282267491E-15,-3.62123525610183E-17, -1.))!#14031=DIRECTION('',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#14032=DIRECTION('',(-1.61970282267491E-15,-3.62123525610183E-17,-1.))!#14033=DIRECTION('',(-1.61970282267491E-15,-3.62123525610183E-17,-1.))!#14034=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#14035=DIRECTION('ref_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#14036=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#14037=DIRECTION('ref_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#14038=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14039=DIRECTION('ref_axis',(-7.10847865381536E-16,-0.402568407579211,-0.915389904477397))!#14040=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#14041=DIRECTION('ref_axis',(-7.10847865381536E-16,-0.402568407579211,-0.915389904477397))!#14042=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-3.62123525610158E-17))!#14043=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14044=DIRECTION('',(0.866025403784437,1.38958700141728E-15,0.500000000000002))!#14045=DIRECTION('',(-2.44249065417534E-15,3.62123525610119E-17,1.))!#14046=DIRECTION('',(-0.86602540378444,-1.35337464885627E-15,0.499999999999998))!#14047=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-3.62123525610158E-17))!#14048=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#14049=DIRECTION('',(0.86602540378444,1.35337464885627E-15,-0.499999999999998))!#14050=DIRECTION('',(-0.866025403784438,-1.38958700141728E-15,-0.500000000000002))!#14051=DIRECTION('',(2.33146835171283E-15,-3.62123525610121E-17,-1.))!#14052=DIRECTION('center_axis',(0.500000000000002,4.4728099547058E-16,-0.866025403784437))!#14053=DIRECTION('ref_axis',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#14054=DIRECTION('',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#14055=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14056=DIRECTION('',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#14057=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14058=DIRECTION('center_axis',(1.,-1.40363108631449E-17,2.44249065417534E-15))!#14059=DIRECTION('ref_axis',(-2.44249065417534E-15,-5.24579474131952E-16, 1.))!#14060=DIRECTION('',(2.44249065417534E-15,5.24579474131952E-16,-1.))!#14061=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14062=DIRECTION('center_axis',(0.499999999999998,-4.61317306333725E-16, 0.86602540378444))!#14063=DIRECTION('ref_axis',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#14064=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#14065=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14066=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#14067=DIRECTION('center_axis',(1.40363108631437E-17,1.,5.24579474131952E-16))!#14068=DIRECTION('ref_axis',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#14069=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14070=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#14071=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14072=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#14073=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14074=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#14075=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#14076=DIRECTION('',(2.33146835171283E-15,5.24579474131952E-16,-1.))!#14077=DIRECTION('',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#14078=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#14079=DIRECTION('',(-2.44249065417534E-15,-5.24579474131952E-16,1.))!#14080=DIRECTION('',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#14081=DIRECTION('center_axis',(-0.499999999999998,4.61317306333725E-16, -0.86602540378444))!#14082=DIRECTION('ref_axis',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#14083=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#14084=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#14085=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14086=DIRECTION('center_axis',(-1.,1.40363108631449E-17,-2.33146835171283E-15))!#14087=DIRECTION('ref_axis',(2.33146835171283E-15,5.24579474131952E-16, -1.))!#14088=DIRECTION('',(-2.33146835171283E-15,-5.24579474131952E-16,1.))!#14089=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14090=DIRECTION('center_axis',(-0.500000000000002,-4.4728099547058E-16, 0.866025403784438))!#14091=DIRECTION('ref_axis',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#14092=DIRECTION('',(0.866025403784438,-2.74445538848875E-16,0.500000000000002))!#14093=DIRECTION('',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#14094=DIRECTION('center_axis',(-0.353553390593275,0.707106781186548,0.612372435695794))!#14095=DIRECTION('ref_axis',(0.866025403784438,-2.74445538848875E-16,0.500000000000002))!#14096=DIRECTION('',(-2.8878963545017E-15,-0.654653670707977,0.755928946018454))!#14097=DIRECTION('',(-0.654653670707977,-0.654653670707978,0.377964473009227))!#14098=DIRECTION('center_axis',(0.353553390593273,0.707106781186547,0.612372435695796))!#14099=DIRECTION('ref_axis',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#14100=DIRECTION('',(0.654653670707976,-0.654653670707978,0.377964473009228))!#14101=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-1.21172111926228E-15))!#14102=DIRECTION('ref_axis',(-2.27595720048157E-15,-5.24579474131952E-16, 1.))!#14103=DIRECTION('',(0.654653670707976,0.654653670707978,0.377964473009228))!#14104=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,2.04438838773114E-15))!#14105=DIRECTION('ref_axis',(2.38697950294409E-15,5.24579474131952E-16, -1.))!#14106=DIRECTION('',(0.654653670707979,-0.654653670707976,-0.377964473009226))!#14107=DIRECTION('center_axis',(0.353553390593275,0.707106781186548,-0.612372435695793))!#14108=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#14109=DIRECTION('',(4.16465283282063E-15,-0.654653670707977,-0.755928946018455))!#14110=DIRECTION('center_axis',(-0.353553390593273,0.707106781186547,-0.612372435695796))!#14111=DIRECTION('ref_axis',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#14112=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14113=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#14114=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14115=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#14116=DIRECTION('center_axis',(1.40363108631437E-17,1.,5.24579474131952E-16))!#14117=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#14118=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#14119=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#14120=DIRECTION('',(1.40363108631437E-17,1.,5.24579474131952E-16))!#14121=DIRECTION('center_axis',(-1.,-1.66450931441201E-15,1.59956282804074E-15))!#14122=DIRECTION('ref_axis',(1.66450931441201E-15,-1.,6.13467251790762E-16))!#14123=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14124=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14125=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14126=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14127=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14128=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14129=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14130=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14131=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14132=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14133=DIRECTION('center_axis',(1.,1.66450931441201E-15,-1.59956282804074E-15))!#14134=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14135=DIRECTION('center_axis',(-6.55590549430657E-16,-0.500000000000001, -0.866025403784438))!#14136=DIRECTION('ref_axis',(1.,1.24014081486188E-15,-1.47300639367748E-15))!#14137=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14138=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14139=DIRECTION('center_axis',(-2.32075419869145E-15,0.499999999999999, -0.866025403784439))!#14140=DIRECTION('ref_axis',(7.05570824879508E-16,-0.866025403784439,-0.499999999999999))!#14141=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14142=DIRECTION('center_axis',(-1.66516364926079E-15,1.,-1.52195356031843E-15))!#14143=DIRECTION('ref_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14144=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14145=DIRECTION('center_axis',(6.55590549430656E-16,0.500000000000001, 0.866025403784438))!#14146=DIRECTION('ref_axis',(-1.,-1.24014081486188E-15,1.47300639367748E-15))!#14147=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14148=DIRECTION('center_axis',(2.32075419869145E-15,-0.499999999999999, 0.866025403784439))!#14149=DIRECTION('ref_axis',(-7.05570824879508E-16,0.866025403784439,0.499999999999999))!#14150=DIRECTION('',(1.,1.66516364926079E-15,-1.71839344152943E-15))!#14151=DIRECTION('center_axis',(1.66516364926079E-15,-1.,9.16296021299985E-16))!#14152=DIRECTION('ref_axis',(1.,1.66516364926079E-15,-1.47300639367748E-15))!#14153=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14154=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14155=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14156=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14157=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14158=DIRECTION('ref_axis',(-1.47300639367748E-15,-7.359319317055E-16, -1.))!#14159=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14160=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14161=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14162=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14163=DIRECTION('center_axis',(-1.,-1.66516364926079E-15,1.47300639367748E-15))!#14164=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#14165=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14166=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14167=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14168=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14169=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14170=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14171=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14172=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14173=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14174=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14175=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14176=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14177=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14178=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14179=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14180=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14181=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14182=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14183=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14184=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14185=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14186=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14187=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14188=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14189=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14190=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14191=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14192=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14193=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14194=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14195=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14196=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14197=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14198=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14199=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14200=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14201=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14202=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14203=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14204=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14205=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14206=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14207=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14208=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14209=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14210=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14211=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14212=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14213=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14214=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14215=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14216=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14217=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14218=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14219=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14220=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14221=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14222=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14223=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14224=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14225=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14226=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14227=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14228=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14229=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14230=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14231=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14232=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14233=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14234=DIRECTION('center_axis',(-1.92296268638357E-16,0.499999999999999, 0.866025403784439))!#14235=DIRECTION('ref_axis',(1.11022302462515E-16,0.866025403784439,-0.499999999999999))!#14236=DIRECTION('',(-1.11022302462515E-16,-0.866025403784439,0.499999999999999))!#14237=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14238=DIRECTION('',(1.11022302462515E-16,0.866025403784439,-0.499999999999999))!#14239=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14240=DIRECTION('ref_axis',(1.92296268638356E-16,0.499999999999999,-0.866025403784439))!#14241=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14242=DIRECTION('ref_axis',(1.92296268638356E-16,0.499999999999999,-0.866025403784439))!#14243=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14244=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14245=DIRECTION('ref_axis',(1.92296268638356E-16,0.499999999999999,-0.866025403784439))!#14246=DIRECTION('center_axis',(1.92296268638356E-16,0.5,-0.866025403784439))!#14247=DIRECTION('ref_axis',(1.11022302462516E-16,-0.866025403784439,-0.5))!#14248=DIRECTION('',(-1.11022302462516E-16,0.866025403784439,0.5))!#14249=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14250=DIRECTION('',(1.11022302462516E-16,-0.866025403784439,-0.5))!#14251=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14252=DIRECTION('ref_axis',(1.92296268638357E-16,0.499999999999998,-0.866025403784439))!#14253=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14254=DIRECTION('ref_axis',(1.92296268638357E-16,0.499999999999998,-0.866025403784439))!#14255=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14256=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14257=DIRECTION('ref_axis',(1.92296268638357E-16,0.499999999999998,-0.866025403784439))!#14258=DIRECTION('center_axis',(9.10723037915299E-32,1.,-4.10153193419307E-16))!#14259=DIRECTION('ref_axis',(2.22044604925031E-16,-4.10153193419307E-16, -1.))!#14260=DIRECTION('center_axis',(-9.10723037915299E-32,-1.,4.10153193419307E-16))!#14261=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#14262=DIRECTION('',(2.22044604925031E-16,-4.10153193419307E-16,-1.))!#14263=DIRECTION('',(-2.22044604925031E-16,4.10153193419307E-16,1.))!#14264=DIRECTION('center_axis',(-9.10723037915299E-32,-1.,4.10153193419307E-16))!#14265=DIRECTION('ref_axis',(0.707106781186549,6.87552547250485E-63,-0.707106781186546))!#14266=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14267=DIRECTION('',(-4.91225733377674E-16,4.10153193419307E-16,1.))!#14268=DIRECTION('',(-0.866025403784439,2.05076596709653E-16,0.5))!#14269=DIRECTION('',(-0.866025403784438,-2.05076596709654E-16,-0.500000000000001))!#14270=DIRECTION('',(1.16417855450928E-15,-4.10153193419307E-16,-1.))!#14271=DIRECTION('',(0.866025403784439,-2.05076596709653E-16,-0.499999999999999))!#14272=DIRECTION('',(0.866025403784438,2.05076596709654E-16,0.500000000000001))!#14273=DIRECTION('center_axis',(-9.10723037915299E-32,-1.,4.10153193419307E-16))!#14274=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14275=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14276=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14277=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14278=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14279=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14280=DIRECTION('ref_axis',(-1.92296268638356E-16,-0.499999999999999,0.866025403784439))!#14281=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14282=DIRECTION('ref_axis',(-1.92296268638356E-16,-0.499999999999999,0.866025403784439))!#14283=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14284=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14285=DIRECTION('ref_axis',(-1.92296268638356E-16,-0.499999999999999,0.866025403784439))!#14286=DIRECTION('center_axis',(-1.92296268638356E-16,-0.5,0.866025403784439))!#14287=DIRECTION('ref_axis',(-1.11022302462516E-16,0.866025403784439,0.5))!#14288=DIRECTION('',(1.11022302462516E-16,-0.866025403784439,-0.5))!#14289=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14290=DIRECTION('',(-1.11022302462516E-16,0.866025403784439,0.5))!#14291=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14292=DIRECTION('ref_axis',(-1.92296268638357E-16,-0.499999999999999,0.866025403784439))!#14293=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14294=DIRECTION('ref_axis',(-1.92296268638357E-16,-0.499999999999999,0.866025403784439))!#14295=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14296=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14297=DIRECTION('ref_axis',(-1.92296268638357E-16,-0.499999999999999,0.866025403784439))!#14298=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14299=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14300=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14301=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14302=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14303=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14304=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14305=DIRECTION('ref_axis',(-1.27359553203875E-16,-0.819152044288993,0.573576436351045))!#14306=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14307=DIRECTION('ref_axis',(-1.27359553203875E-16,-0.819152044288993,0.573576436351045))!#14308=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14309=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14310=DIRECTION('ref_axis',(-1.27359553203875E-16,-0.819152044288993,0.573576436351045))!#14311=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14312=DIRECTION('center_axis',(1.92296268638356E-16,-0.5,-0.866025403784439))!#14313=DIRECTION('ref_axis',(-1.11022302462516E-16,-0.866025403784439,0.5))!#14314=DIRECTION('',(1.11022302462516E-16,0.866025403784439,-0.5))!#14315=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14316=DIRECTION('',(-1.11022302462516E-16,-0.866025403784439,0.5))!#14317=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14318=DIRECTION('ref_axis',(2.09848080767453E-31,-1.,-9.45071738348716E-16))!#14319=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14320=DIRECTION('ref_axis',(2.09848080767453E-31,-1.,-9.45071738348716E-16))!#14321=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14322=DIRECTION('ref_axis',(2.09848080767453E-31,-1.,-9.45071738348716E-16))!#14323=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14324=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14325=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14326=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14327=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14328=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14329=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14330=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14331=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14332=DIRECTION('ref_axis',(2.09612234766983E-16,0.329918032786885,-0.944009582388882))!#14333=DIRECTION('',(2.0587617268015E-16,0.374606593415913,-0.927183854566787))!#14334=DIRECTION('',(-2.0587617268015E-16,0.374606593415913,0.927183854566787))!#14335=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14336=DIRECTION('ref_axis',(2.0587617268015E-16,-0.374606593415912,-0.927183854566787))!#14337=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14338=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14339=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14340=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14341=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14342=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14343=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14344=DIRECTION('center_axis',(8.31793730373483E-17,0.927183854566787, -0.374606593415913))!#14345=DIRECTION('ref_axis',(-2.0587617268015E-16,0.374606593415913,0.927183854566787))!#14346=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14347=DIRECTION('',(2.0587617268015E-16,-0.374606593415913,-0.927183854566787))!#14348=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14349=DIRECTION('ref_axis',(2.0587617268015E-16,-0.374606593415912,-0.927183854566787))!#14350=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14351=DIRECTION('ref_axis',(2.0587617268015E-16,-0.374606593415912,-0.927183854566787))!#14352=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14353=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14354=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14355=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14356=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#14357=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14358=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#14359=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14360=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14361=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#14362=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14363=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14364=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#14365=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14366=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14367=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14368=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14369=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14370=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14371=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14372=DIRECTION('ref_axis',(-2.09832251584097E-16,-0.327069028518112,0.945000450044453))!#14373=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14374=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14375=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14376=DIRECTION('ref_axis',(2.09612234766983E-16,0.329918032786885,-0.944009582388882))!#14377=DIRECTION('center_axis',(8.31793730373483E-17,-0.927183854566787, -0.374606593415913))!#14378=DIRECTION('ref_axis',(2.0587617268015E-16,0.374606593415913,-0.927183854566787))!#14379=DIRECTION('',(-2.0587617268015E-16,-0.374606593415913,0.927183854566787))!#14380=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14381=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14382=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14383=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14384=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14385=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14386=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14387=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14388=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14389=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14390=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14391=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14392=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14393=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14394=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14395=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14396=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14397=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14398=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14399=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14400=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14401=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14402=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14403=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14404=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14405=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14406=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14407=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14408=DIRECTION('',(-0.707106781186547,0.707106781186548,-2.43604851491927E-16))!#14409=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14410=DIRECTION('ref_axis',(0.,1.,0.))!#14411=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14412=DIRECTION('ref_axis',(0.,-1.,0.))!#14413=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14414=DIRECTION('ref_axis',(0.,-1.,0.))!#14415=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14416=DIRECTION('ref_axis',(8.42971265537064E-33,1.,0.))!#14417=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14418=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14419=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14420=DIRECTION('center_axis',(9.10723037915299E-32,-1.,-4.10153193419307E-16))!#14421=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14422=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14423=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14424=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14425=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14426=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14427=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14428=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14429=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#14430=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14431=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#14432=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14433=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#14434=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14435=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14436=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14437=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#14438=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14439=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#14440=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14441=DIRECTION('ref_axis',(0.,-1.,0.))!#14442=DIRECTION('center_axis',(-2.22044604925031E-16,-1.22464679914735E-16, 1.))!#14443=DIRECTION('ref_axis',(0.,1.,1.22464679914735E-16))!#14444=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14445=DIRECTION('ref_axis',(0.707106781186548,6.87552547250485E-63,0.707106781186547))!#14446=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14447=DIRECTION('center_axis',(9.10723037915299E-32,-1.,-4.10153193419307E-16))!#14448=DIRECTION('ref_axis',(0.707106781186548,6.87552547250485E-63,0.707106781186547))!#14449=DIRECTION('center_axis',(-9.10723037915299E-32,1.,4.10153193419307E-16))!#14450=DIRECTION('ref_axis',(2.22044604925031E-16,4.10153193419307E-16, -1.))!#14451=DIRECTION('center_axis',(9.10723037915299E-32,-1.,-4.10153193419307E-16))!#14452=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#14453=DIRECTION('',(-2.22044604925031E-16,-4.10153193419307E-16,1.))!#14454=DIRECTION('',(2.22044604925031E-16,4.10153193419307E-16,-1.))!#14455=DIRECTION('',(-4.91225733377674E-16,-4.10153193419307E-16,1.))!#14456=DIRECTION('',(-0.866025403784439,-2.05076596709653E-16,0.5))!#14457=DIRECTION('',(-0.866025403784438,2.05076596709654E-16,-0.500000000000001))!#14458=DIRECTION('',(1.16417855450928E-15,4.10153193419307E-16,-1.))!#14459=DIRECTION('',(0.866025403784439,2.05076596709653E-16,-0.499999999999999))!#14460=DIRECTION('',(0.866025403784438,-2.05076596709654E-16,0.500000000000001))!#14461=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14462=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#14463=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14464=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14465=DIRECTION('ref_axis',(0.707106781186549,6.87552547250485E-63,-0.707106781186546))!#14466=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14467=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14468=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#14469=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14470=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14471=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14472=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14473=DIRECTION('ref_axis',(6.67701004495919E-17,-0.953716950748227,-0.300705799504273))!#14474=DIRECTION('',(1.81888292047681E-16,0.573576436351045,-0.819152044288993))!#14475=DIRECTION('',(1.81888292047681E-16,-0.573576436351046,-0.819152044288992))!#14476=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14477=DIRECTION('ref_axis',(-6.67701004495919E-17,-0.953716950748227,0.300705799504273))!#14478=DIRECTION('center_axis',(-1.27359553203875E-16,-0.819152044288992, 0.573576436351046))!#14479=DIRECTION('ref_axis',(-1.81888292047681E-16,0.573576436351046,0.819152044288992))!#14480=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14481=DIRECTION('',(-1.81888292047681E-16,0.573576436351046,0.819152044288992))!#14482=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14483=DIRECTION('ref_axis',(-6.67701004495919E-17,-0.953716950748227,0.300705799504273))!#14484=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14485=DIRECTION('ref_axis',(-6.67701004495919E-17,-0.953716950748227,0.300705799504273))!#14486=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14487=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14488=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14489=DIRECTION('ref_axis',(6.67701004495919E-17,-0.953716950748227,-0.300705799504273))!#14490=DIRECTION('',(-1.81888292047681E-16,-0.573576436351045,0.819152044288993))!#14491=DIRECTION('center_axis',(1.27359553203875E-16,-0.819152044288993, -0.573576436351045))!#14492=DIRECTION('ref_axis',(-1.81888292047681E-16,-0.573576436351045,0.819152044288993))!#14493=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14494=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14495=DIRECTION('ref_axis',(6.67701004495919E-17,-0.953716950748227,-0.300705799504273))!#14496=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14497=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14498=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14499=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,1.57009245868378E-16))!#14500=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14501=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14502=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,-1.57009245868378E-16))!#14503=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14504=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14505=DIRECTION('ref_axis',(-0.707106781186545,-0.707106781186549,-1.57009245868377E-16))!#14506=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14507=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14508=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186546,1.57009245868378E-16))!#14509=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14510=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14511=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14512=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14513=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14514=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14515=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14516=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14517=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14518=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14519=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14520=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14521=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#14522=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14523=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14524=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#14525=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14526=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#14527=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14528=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14529=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#14530=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14531=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14532=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14533=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14534=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14535=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14536=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#14537=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14538=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14539=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14540=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#14541=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14542=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14543=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14544=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14545=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14546=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#14547=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14548=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14549=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14550=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#14551=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14552=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14553=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14554=DIRECTION('ref_axis',(0.707106781186547,6.87552547250485E-63,0.707106781186548))!#14555=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14556=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14557=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14558=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14559=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14560=DIRECTION('ref_axis',(-1.57009245868378E-16,0.707106781186546,0.707106781186549))!#14561=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14562=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14563=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14564=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14565=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14566=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#14567=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14568=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14569=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14570=DIRECTION('ref_axis',(-1.57009245868378E-16,-0.707106781186544,0.707106781186551))!#14571=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14572=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14573=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#14574=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14575=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14576=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#14577=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14578=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#14579=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14580=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14581=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14582=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#14583=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#14584=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#14585=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#14586=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14587=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14588=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#14589=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#14590=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#14591=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14592=DIRECTION('ref_axis',(0.,1.,0.))!#14593=DIRECTION('',(-0.342020143325668,0.939692620785909,3.91354284216345E-17))!#14594=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14595=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14596=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14597=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14598=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14599=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14600=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14601=DIRECTION('ref_axis',(2.09997504019524E-16,0.,-1.))!#14602=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14603=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14604=DIRECTION('center_axis',(2.71926214689378E-32,-1.,-1.22464679914735E-16))!#14605=DIRECTION('ref_axis',(-2.12595898332477E-16,-1.22464679914735E-16, 1.))!#14606=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14607=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14608=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14609=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14610=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14611=DIRECTION('ref_axis',(0.,1.,0.))!#14612=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#14613=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14614=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14615=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14616=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14617=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14618=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14619=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14620=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14621=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14622=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14623=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14624=DIRECTION('ref_axis',(0.,1.,0.))!#14625=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#14626=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14627=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14628=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14629=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14630=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14631=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14632=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14633=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14634=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14635=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14636=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14637=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14638=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14639=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14640=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14641=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14642=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#14643=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#14644=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14645=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14646=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14647=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14648=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14649=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14650=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14651=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14652=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14653=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14654=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14655=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14656=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14657=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14658=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14659=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14660=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14661=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14662=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14663=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14664=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14665=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14666=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#14667=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14668=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14669=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14670=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14671=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14672=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14673=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14674=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14675=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188626E-16))!#14676=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14677=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14678=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#14679=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14680=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14681=DIRECTION('ref_axis',(-4.02759896562898E-17,-1.,-5.55111512312578E-17))!#14682=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14683=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#14684=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14685=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#14686=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326338E-16))!#14687=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14688=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#14689=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14690=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#14691=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14692=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14693=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14694=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14695=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14696=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14697=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14698=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14699=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14700=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14701=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14702=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14703=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14704=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14705=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14706=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14707=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14708=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14709=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14710=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14711=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14712=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14713=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14714=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188625E-16))!#14715=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14716=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188626E-16))!#14717=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14718=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14719=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14720=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14721=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14722=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14723=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14724=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14725=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14726=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14727=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14728=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14729=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14730=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14731=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14732=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14733=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14734=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14735=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14736=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14737=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14738=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14739=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14740=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14741=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14742=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14743=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14744=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14745=DIRECTION('center_axis',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14746=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312577E-17, -1.))!#14747=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14748=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#14749=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14750=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14751=DIRECTION('ref_axis',(4.02759896562898E-17,1.,1.16743491188626E-16))!#14752=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14753=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14754=DIRECTION('ref_axis',(6.27321602326339E-16,1.77975831145993E-16, -1.))!#14755=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14756=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#14757=DIRECTION('ref_axis',(-4.02759896562897E-17,-1.,-2.39208171103361E-16))!#14758=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#14759=DIRECTION('center_axis',(-7.68011554759039E-32,-1.,1.23441844834321E-16))!#14760=DIRECTION('ref_axis',(1.,-4.93915598084502E-32,2.22044604925031E-16))!#14761=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14762=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14763=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14764=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14765=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14766=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14767=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14768=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14769=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14770=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14771=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14772=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14773=DIRECTION('center_axis',(0.500000000000001,-1.80793295229387E-16, -0.866025403784438))!#14774=DIRECTION('ref_axis',(3.61586590458773E-16,1.,8.02883516246073E-32))!#14775=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14776=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14777=DIRECTION('center_axis',(-0.499999999999999,-1.80793295229387E-16, -0.866025403784439))!#14778=DIRECTION('ref_axis',(0.866025403784439,8.42076456057254E-63,-0.499999999999999))!#14779=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14780=DIRECTION('center_axis',(-1.,-1.96681876245758E-31,-1.16417855450928E-15))!#14781=DIRECTION('ref_axis',(1.96681876245758E-31,-1.,4.36721495069032E-47))!#14782=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14783=DIRECTION('center_axis',(-0.500000000000001,1.80793295229387E-16, 0.866025403784438))!#14784=DIRECTION('ref_axis',(-3.61586590458773E-16,-1.,-8.02883516246072E-32))!#14785=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14786=DIRECTION('center_axis',(0.5,1.80793295229387E-16,0.866025403784439))!#14787=DIRECTION('ref_axis',(-0.866025403784439,-8.42076456057254E-63,0.5))!#14788=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14789=DIRECTION('center_axis',(1.,5.61948217845022E-32,4.91225733377674E-16))!#14790=DIRECTION('ref_axis',(-5.61948217845022E-32,1.,-1.24777570019723E-47))!#14791=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14792=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14793=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14794=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14795=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14796=DIRECTION('ref_axis',(3.44509284839767E-16,1.19078058454339E-78, -1.))!#14797=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14798=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14799=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14800=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14801=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14802=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14803=DIRECTION('center_axis',(-7.68011554759039E-32,-1.,1.23441844834321E-16))!#14804=DIRECTION('ref_axis',(1.,-4.93915598084502E-32,2.22044604925031E-16))!#14805=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14806=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14807=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14808=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14809=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14810=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14811=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14812=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14813=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14814=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14815=DIRECTION('center_axis',(7.68011554759039E-32,1.,-1.23441844834321E-16))!#14816=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14817=DIRECTION('center_axis',(0.500000000000001,-1.80793295229387E-16, -0.866025403784438))!#14818=DIRECTION('ref_axis',(3.61586590458773E-16,1.,8.02883516246073E-32))!#14819=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14820=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14821=DIRECTION('center_axis',(-0.499999999999999,-1.80793295229387E-16, -0.866025403784439))!#14822=DIRECTION('ref_axis',(0.866025403784439,8.42076456057254E-63,-0.499999999999999))!#14823=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14824=DIRECTION('center_axis',(-1.,-1.96681876245758E-31,-1.16417855450928E-15))!#14825=DIRECTION('ref_axis',(1.96681876245758E-31,-1.,4.36721495069032E-47))!#14826=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14827=DIRECTION('center_axis',(-0.500000000000001,1.80793295229387E-16, 0.866025403784438))!#14828=DIRECTION('ref_axis',(-3.61586590458773E-16,-1.,-8.02883516246072E-32))!#14829=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14830=DIRECTION('center_axis',(0.5,1.80793295229387E-16,0.866025403784439))!#14831=DIRECTION('ref_axis',(-0.866025403784439,-8.42076456057254E-63,0.5))!#14832=DIRECTION('',(4.63545014232584E-32,1.,-2.08762115336732E-16))!#14833=DIRECTION('center_axis',(1.,5.61948217845022E-32,4.91225733377674E-16))!#14834=DIRECTION('ref_axis',(-5.61948217845022E-32,1.,-1.24777570019723E-47))!#14835=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14836=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14837=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14838=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14839=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14840=DIRECTION('ref_axis',(7.88598494689829E-16,5.50886486209062E-78, -1.))!#14841=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14842=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14843=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14844=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14845=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#14846=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#14847=CARTESIAN_POINT('',(0.,0.,0.))!#14848=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14849=CARTESIAN_POINT('',(-17.2864517716535,-4.83162500000001,-4.00643035518564))!#14850=CARTESIAN_POINT('',(-17.4270767716535,-4.85674523679042,-4.08762023679043))!#14851=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, -4.00643035518564))!#14852=CARTESIAN_POINT('Ctrl Pts',(-17.3517160612823,-4.83162500000001, -4.04411071037127))!#14853=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679042, -4.08762023679043))!#14854=CARTESIAN_POINT('',(-17.4270767716535,-4.83162500000001,-4.25000000000001))!#14855=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14856=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679042, -4.08762023679043))!#14857=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, -4.17463928962876))!#14858=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, -4.25000000000001))!#14859=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14860=CARTESIAN_POINT('',(-17.4270767716535,-4.85674523679042,-4.4123797632096))!#14861=CARTESIAN_POINT('',(-17.2864517716535,-4.83162500000001,-4.49356964481439))!#14862=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679042, -4.4123797632096))!#14863=CARTESIAN_POINT('Ctrl Pts',(-17.3517160612823,-4.83162500000001, -4.45588928962876))!#14864=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, -4.49356964481439))!#14865=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, -4.25000000000001))!#14866=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, -4.32536071037127))!#14867=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679042, -4.4123797632096))!#14868=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14869=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14870=CARTESIAN_POINT('',(-17.0052017716535,-4.83162500000001,-4.00643035518564))!#14871=CARTESIAN_POINT('',(-17.1458267716535,-4.85674523679042,-3.92524047358085))!#14872=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, -4.00643035518564))!#14873=CARTESIAN_POINT('Ctrl Pts',(-17.0704660612823,-4.83162500000001, -3.96875000000001))!#14874=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679042, -3.92524047358085))!#14875=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14876=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679042, -3.92524047358085))!#14877=CARTESIAN_POINT('Ctrl Pts',(-17.2211874820248,-4.83162500000001, -3.96875000000001))!#14878=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, -4.00643035518564))!#14879=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14880=CARTESIAN_POINT('',(-17.1458267716535,-4.85674523679042,-4.57475952641918))!#14881=CARTESIAN_POINT('',(-17.0052017716535,-4.83162500000001,-4.49356964481439))!#14882=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679042, -4.57475952641918))!#14883=CARTESIAN_POINT('Ctrl Pts',(-17.0704660612823,-4.83162500000001, -4.53125000000001))!#14884=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, -4.49356964481439))!#14885=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, -4.49356964481439))!#14886=CARTESIAN_POINT('Ctrl Pts',(-17.2211874820248,-4.83162500000001, -4.53125000000001))!#14887=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679042, -4.57475952641918))!#14888=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14889=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14890=CARTESIAN_POINT('',(-16.8645767716535,-4.83162500000001,-4.25000000000001))!#14891=CARTESIAN_POINT('',(-16.8645767716535,-4.85674523679042,-4.08762023679043))!#14892=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, -4.25000000000001))!#14893=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, -4.17463928962876))!#14894=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679042, -4.08762023679043))!#14895=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14896=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679042, -4.08762023679043))!#14897=CARTESIAN_POINT('Ctrl Pts',(-16.9399374820248,-4.83162500000001, -4.04411071037127))!#14898=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, -4.00643035518564))!#14899=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,-4.25000000000001))!#14900=CARTESIAN_POINT('',(-16.8645767716535,-4.85674523679042,-4.4123797632096))!#14901=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679042, -4.41237976320959))!#14902=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, -4.32536071037127))!#14903=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, -4.25000000000001))!#14904=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, -4.49356964481439))!#14905=CARTESIAN_POINT('Ctrl Pts',(-16.9399374820248,-4.83162500000001, -4.45588928962876))!#14906=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679042, -4.4123797632096))!#14907=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14908=CARTESIAN_POINT('Origin',(-16.8645767716535,-5.04100000000001,-4.4123797632096))!#14909=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,-4.08762023679043))!#14910=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,-4.08762023679043))!#14911=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,-4.4123797632096))!#14912=CARTESIAN_POINT('',(-16.8645767716535,-5.041,-3.72863881337126))!#14913=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,-4.4123797632096))!#14914=CARTESIAN_POINT('Origin',(-16.8645767716535,-5.04100000000001,-4.08762023679043))!#14915=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,-3.92524047358085))!#14916=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,-3.92524047358085))!#14917=CARTESIAN_POINT('',(-14.3833015377374,-5.041,-5.52018516102547))!#14918=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.04100000000001,-3.92524047358085))!#14919=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,-4.08762023679043))!#14920=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,-4.08762023679043))!#14921=CARTESIAN_POINT('',(-14.1427270055697,-5.041,-2.19140001522903))!#14922=CARTESIAN_POINT('Origin',(-17.4270767716535,-5.04100000000001,-4.08762023679043))!#14923=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,-4.4123797632096))!#14924=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,-4.4123797632096))!#14925=CARTESIAN_POINT('',(-17.4270767716535,-5.041,-3.56625905016169))!#14926=CARTESIAN_POINT('Origin',(-17.4270767716535,-5.04100000000001,-4.4123797632096))!#14927=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,-4.57475952641918))!#14928=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,-4.57475952641918))!#14929=CARTESIAN_POINT('',(-14.8051765377374,-5.041,-5.92613456904943))!#14930=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.04100000000001,-4.57475952641918))!#14931=CARTESIAN_POINT('',(-14.0021020055697,-5.041,-2.75972918646257))!#14932=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,-4.25000000000001))!#14933=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14934=CARTESIAN_POINT('',(-10.2864517716535,-4.83162500000001,4.49356964481438))!#14935=CARTESIAN_POINT('',(-10.4270767716535,-4.85674523679043,4.41237976320959))!#14936=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, 4.49356964481438))!#14937=CARTESIAN_POINT('Ctrl Pts',(-10.3517160612823,-4.83162500000001, 4.45588928962875))!#14938=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679043, 4.41237976320959))!#14939=CARTESIAN_POINT('',(-10.4270767716535,-4.83162500000001,4.25000000000001))!#14940=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14941=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679043, 4.41237976320959))!#14942=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, 4.32536071037126))!#14943=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, 4.25000000000001))!#14944=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14945=CARTESIAN_POINT('',(-10.4270767716535,-4.85674523679043,4.08762023679043))!#14946=CARTESIAN_POINT('',(-10.2864517716535,-4.83162500000001,4.00643035518563))!#14947=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679043, 4.08762023679042))!#14948=CARTESIAN_POINT('Ctrl Pts',(-10.3517160612823,-4.83162500000001, 4.04411071037126))!#14949=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, 4.00643035518563))!#14950=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, 4.25000000000001))!#14951=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, 4.17463928962876))!#14952=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679043, 4.08762023679043))!#14953=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14954=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14955=CARTESIAN_POINT('',(-10.0052017716535,-4.83162500000001,4.49356964481438))!#14956=CARTESIAN_POINT('',(-10.1458267716535,-4.85674523679043,4.57475952641917))!#14957=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, 4.49356964481438))!#14958=CARTESIAN_POINT('Ctrl Pts',(-10.0704660612823,-4.83162500000001, 4.53125000000001))!#14959=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679043, 4.57475952641917))!#14960=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14961=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679043, 4.57475952641917))!#14962=CARTESIAN_POINT('Ctrl Pts',(-10.2211874820248,-4.83162500000001, 4.53125000000001))!#14963=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, 4.49356964481438))!#14964=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14965=CARTESIAN_POINT('',(-10.1458267716535,-4.85674523679043,3.92524047358084))!#14966=CARTESIAN_POINT('',(-10.0052017716535,-4.83162500000001,4.00643035518563))!#14967=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679043, 3.92524047358084))!#14968=CARTESIAN_POINT('Ctrl Pts',(-10.0704660612823,-4.83162500000001, 3.96875000000001))!#14969=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, 4.00643035518563))!#14970=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, 4.00643035518563))!#14971=CARTESIAN_POINT('Ctrl Pts',(-10.2211874820248,-4.83162500000001, 3.96875000000001))!#14972=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679043, 3.92524047358084))!#14973=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14974=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14975=CARTESIAN_POINT('',(-9.86457677165355,-4.83162500000001,4.25000000000001))!#14976=CARTESIAN_POINT('',(-9.86457677165355,-4.85674523679043,4.41237976320959))!#14977=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.83162500000001, 4.25000000000001))!#14978=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.83162500000001, 4.32536071037126))!#14979=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.85674523679043, 4.41237976320959))!#14980=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14981=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.85674523679043, 4.41237976320959))!#14982=CARTESIAN_POINT('Ctrl Pts',(-9.9399374820248,-4.83162500000001,4.45588928962875))!#14983=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, 4.49356964481438))!#14984=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,4.25000000000001))!#14985=CARTESIAN_POINT('',(-9.86457677165355,-4.85674523679043,4.08762023679043))!#14986=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.85674523679043, 4.08762023679043))!#14987=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.83162500000001, 4.17463928962876))!#14988=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.83162500000001, 4.25000000000001))!#14989=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, 4.00643035518563))!#14990=CARTESIAN_POINT('Ctrl Pts',(-9.9399374820248,-4.83162500000001,4.04411071037126))!#14991=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165355,-4.85674523679043, 4.08762023679043))!#14992=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#14993=CARTESIAN_POINT('Origin',(-9.86457677165355,-5.04100000000001,4.08762023679043))!#14994=CARTESIAN_POINT('',(-9.86457677165355,-5.04100000000001,4.41237976320959))!#14995=CARTESIAN_POINT('',(-9.86457677165355,-5.04100000000001,4.41237976320959))!#14996=CARTESIAN_POINT('',(-9.86457677165355,-5.04100000000001,4.08762023679043))!#14997=CARTESIAN_POINT('',(-9.86457677165355,-5.041,4.41881011839521))!#14998=CARTESIAN_POINT('',(-9.86457677165355,-5.04100000000001,4.08762023679043))!#14999=CARTESIAN_POINT('Origin',(-9.86457677165355,-5.04100000000001,4.41237976320959))!#15000=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,4.57475952641917))!#15001=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,4.57475952641917))!#15002=CARTESIAN_POINT('',(-9.8556424471266,-5.041,4.40722152853893))!#15003=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.04100000000001,4.57475952641917))!#15004=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,4.41237976320959))!#15005=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,4.41237976320959))!#15006=CARTESIAN_POINT('',(-9.92038609618049,-5.041,4.70491776108983))!#15007=CARTESIAN_POINT('Origin',(-10.4270767716535,-5.04100000000001,4.41237976320959))!#15008=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,4.08762023679043))!#15009=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,4.08762023679043))!#15010=CARTESIAN_POINT('',(-10.4270767716535,-5.041,4.58118988160479))!#15011=CARTESIAN_POINT('Origin',(-10.4270767716535,-5.04100000000001,4.08762023679043))!#15012=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,3.92524047358084))!#15013=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,3.92524047358084))!#15014=CARTESIAN_POINT('',(-10.2775174471266,-5.041,4.00127212051497))!#15015=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.04100000000001,3.92524047358084))!#15016=CARTESIAN_POINT('',(-9.77976109618049,-5.041,4.13658858985629))!#15017=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,4.25000000000001))!#15018=CARTESIAN_POINT('Origin',(-16.1574383146214,-1.29057026004526,8.05009842519686))!#15019=CARTESIAN_POINT('',(-15.1095352514978,0.0698051558214774,8.05000000000001))!#15020=CARTESIAN_POINT('',(-15.1099371300876,0.0692834417689003,8.05000000000001))!#15021=CARTESIAN_POINT('',(-15.3121638837406,-0.193244948290353,8.05))!#15022=CARTESIAN_POINT('',(-15.1095352514946,0.0698051558183221,8.05009842519686))!#15023=CARTESIAN_POINT('',(-15.1095352514978,0.0698051558214774,8.05009842519686))!#15024=CARTESIAN_POINT('',(-15.1099371300876,0.0692834417689003,8.05009842519686))!#15025=CARTESIAN_POINT('',(-16.1574383146214,-1.29057026004526,8.05009842519686))!#15026=CARTESIAN_POINT('',(-15.1099371300876,0.0692834417689003,8.05009842519686))!#15027=CARTESIAN_POINT('Ctrl Pts',(-15.1095352514881,0.069805155812011, 8.05009842519686))!#15028=CARTESIAN_POINT('Ctrl Pts',(-15.1095352514881,0.069805155812011, 8.04998031496064))!#15029=CARTESIAN_POINT('Ctrl Pts',(-15.1135278373974,0.0750031669214436, 8.05009842519686))!#15030=CARTESIAN_POINT('Ctrl Pts',(-15.1135278373974,0.0750031669214436, 8.04998031496064))!#15031=CARTESIAN_POINT('Ctrl Pts',(-15.149994609152,0.122367272054884,8.05009842519686))!#15032=CARTESIAN_POINT('Ctrl Pts',(-15.149994609152,0.122367272054884,8.04998031496064))!#15033=CARTESIAN_POINT('',(-15.149994609167,0.122367272043321,8.05000000000001))!#15034=CARTESIAN_POINT('Ctrl Pts',(-15.149994609152,0.122367272054884,8.05000000000001))!#15035=CARTESIAN_POINT('Ctrl Pts',(-15.1135278373974,0.0750031669214436, 8.05000000000001))!#15036=CARTESIAN_POINT('Ctrl Pts',(-15.1095352514881,0.069805155812011, 8.05000000000001))!#15037=CARTESIAN_POINT('',(-15.149994609167,0.122367272043321,8.05009842519686))!#15038=CARTESIAN_POINT('',(-15.1499946091745,0.12236727203754,8.05009842519686))!#15039=CARTESIAN_POINT('Ctrl Pts',(-15.1095352514963,0.0698051558226587, 8.05009842519686))!#15040=CARTESIAN_POINT('Ctrl Pts',(-15.1135278373974,0.0750031669214436, 8.05009842519686))!#15041=CARTESIAN_POINT('Ctrl Pts',(-15.149994609152,0.122367272054884,8.05009842519686))!#15042=CARTESIAN_POINT('Origin',(-15.7956078293795,0.960494751412878,8.05009842519686))!#15043=CARTESIAN_POINT('',(-15.404749928408,0.453087627328328,8.05000000000001))!#15044=CARTESIAN_POINT('',(-16.0704232688763,1.31725691859042,8.05))!#15045=CARTESIAN_POINT('',(-15.404749928408,0.453087627328328,8.05009842519686))!#15046=CARTESIAN_POINT('',(-15.404749928408,0.453087627328328,8.05009842519686))!#15047=CARTESIAN_POINT('',(-15.7956078293795,0.960494751412878,8.05009842519686))!#15048=CARTESIAN_POINT('Origin',(-17.4376761160508,0.453087627328324,8.05009842519686))!#15049=CARTESIAN_POINT('',(-15.6198385779824,0.453087627328327,8.05000000000001))!#15050=CARTESIAN_POINT('',(-16.6717514438522,0.453087627328325,8.05))!#15051=CARTESIAN_POINT('',(-15.6198385779824,0.453087627328327,8.05009842519686))!#15052=CARTESIAN_POINT('',(-15.6198385779824,0.453087627328327,8.05009842519686))!#15053=CARTESIAN_POINT('',(-17.4376761160508,0.453087627328324,8.05009842519686))!#15054=CARTESIAN_POINT('Origin',(-15.9303571249458,0.856327424856663,8.05009842519686))!#15055=CARTESIAN_POINT('',(-15.5431006407252,0.353435640080117,8.05000000000001))!#15056=CARTESIAN_POINT('',(-16.2052409667211,1.21329194689641,8.05))!#15057=CARTESIAN_POINT('',(-15.5431006407252,0.353435640080117,8.05009842519686))!#15058=CARTESIAN_POINT('',(-15.5431006407252,0.353435640080117,8.05009842519686))!#15059=CARTESIAN_POINT('',(-15.9303571249458,0.856327424856663,8.05009842519686))!#15060=CARTESIAN_POINT('Origin',(-15.9306939998396,0.85655413335479,8.05009842519686))!#15061=CARTESIAN_POINT('',(-15.3241949425341,0.0692834417688999,8.05000000000001))!#15062=CARTESIAN_POINT('',(-16.2054877867075,1.21325228815846,8.05))!#15063=CARTESIAN_POINT('',(-15.3241949425341,0.0692834417688999,8.05009842519686))!#15064=CARTESIAN_POINT('',(-15.3241949425341,0.0692834417688999,8.05009842519686))!#15065=CARTESIAN_POINT('',(-15.9306939998396,0.85655413335479,8.05009842519686))!#15066=CARTESIAN_POINT('Origin',(-16.291970744781,-1.18699396336199,8.05009842519686))!#15067=CARTESIAN_POINT('',(-15.3242407821364,0.0692239370193818,8.05000000000001))!#15068=CARTESIAN_POINT('',(-15.4466653146104,-0.0896963145757956,8.05))!#15069=CARTESIAN_POINT('',(-15.3242407821364,0.0692239370193818,8.05009842519686))!#15070=CARTESIAN_POINT('',(-15.3242407821364,0.0692239370193818,8.05009842519686))!#15071=CARTESIAN_POINT('',(-16.291970744781,-1.18699396336199,8.05009842519686))!#15072=CARTESIAN_POINT('Origin',(-15.9303571249458,0.856327424856663,8.05009842519686))!#15073=CARTESIAN_POINT('',(-15.3241949425341,0.0691644096508743,8.05000000000001))!#15074=CARTESIAN_POINT('',(-16.2052409667211,1.21329194689641,8.05))!#15075=CARTESIAN_POINT('',(-15.3241949425341,0.0691644096508743,8.05009842519686))!#15076=CARTESIAN_POINT('',(-15.3241949425341,0.0691644096508743,8.05009842519686))!#15077=CARTESIAN_POINT('',(-15.9303571249458,0.856327424856663,8.05009842519686))!#15078=CARTESIAN_POINT('Origin',(-16.2914801878306,-1.1870021606648,8.05009842519686))!#15079=CARTESIAN_POINT('',(-15.6196552650623,-0.314535622805285,8.05000000000001))!#15080=CARTESIAN_POINT('',(-15.4463845678511,-0.0895173476787489,8.05))!#15081=CARTESIAN_POINT('',(-15.6196552650623,-0.314535622805285,8.05009842519686))!#15082=CARTESIAN_POINT('',(-15.6196552650623,-0.314535622805285,8.05009842519686))!#15083=CARTESIAN_POINT('',(-16.2914801878306,-1.1870021606648,8.05009842519686))!#15084=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.314535622805289,8.05009842519686))!#15085=CARTESIAN_POINT('',(-15.404749928408,-0.314535622805285,8.05000000000001))!#15086=CARTESIAN_POINT('',(-16.6717514438522,-0.314535622805287,8.05))!#15087=CARTESIAN_POINT('',(-15.404749928408,-0.314535622805285,8.05009842519686))!#15088=CARTESIAN_POINT('',(-15.404749928408,-0.314535622805285,8.05009842519686))!#15089=CARTESIAN_POINT('',(-17.4376761160508,-0.314535622805289,8.05009842519686))!#15090=CARTESIAN_POINT('Origin',(-16.1568713991685,-1.29096871086457,8.05009842519686))!#15091=CARTESIAN_POINT('',(-15.1095205176746,0.0687425794735792,8.05000000000001))!#15092=CARTESIAN_POINT('',(-15.3116163883925,-0.193626070805181,8.05))!#15093=CARTESIAN_POINT('',(-15.1095205176746,0.0687425794735792,8.05009842519686))!#15094=CARTESIAN_POINT('',(-15.1095205176746,0.0687425794735792,8.05009842519686))!#15095=CARTESIAN_POINT('',(-16.1568713991685,-1.29096871086457,8.05009842519686))!#15096=CARTESIAN_POINT('Origin',(-15.796090369436,0.960074028695387,8.05009842519686))!#15097=CARTESIAN_POINT('',(-16.0709141469374,1.31686085126343,8.05))!#15098=CARTESIAN_POINT('',(-15.796090369436,0.960074028695387,8.05009842519686))!#15099=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304397547721017,8.05009842519686))!#15100=CARTESIAN_POINT('Origin',(-15.7956078293795,0.960494751412878,8.05009842519686))!#15101=CARTESIAN_POINT('',(-15.1091039052615,0.0692834417689001,8.05000000000001))!#15102=CARTESIAN_POINT('',(-15.1095205176746,0.0698242830973164,8.05000000000001))!#15103=CARTESIAN_POINT('',(-16.0704232688763,1.31725691859042,8.05))!#15104=CARTESIAN_POINT('',(-15.1091039052615,0.0692834417689001,8.05009842519686))!#15105=CARTESIAN_POINT('',(-15.1091039052615,0.0692834417689001,8.05009842519686))!#15106=CARTESIAN_POINT('',(-15.1095205176746,0.0698242830973164,8.05009842519686))!#15107=CARTESIAN_POINT('',(-15.7956078293795,0.960494751412878,8.05009842519686))!#15108=CARTESIAN_POINT('',(-15.1095205176746,0.0698242830973164,8.05009842519686))!#15109=CARTESIAN_POINT('Origin',(-16.1568713991685,-1.29096871086457,8.05009842519686))!#15110=CARTESIAN_POINT('',(-15.3116163883925,-0.193626070805181,8.05))!#15111=CARTESIAN_POINT('',(-15.1095205176746,0.0687425794735792,8.05009842519686))!#15112=CARTESIAN_POINT('',(-16.1568713991685,-1.29096871086457,8.05009842519686))!#15113=CARTESIAN_POINT('Origin',(-15.796090369436,0.960074028695387,8.05009842519686))!#15114=CARTESIAN_POINT('',(-14.8142911069411,-0.314535622805284,8.05000000000001))!#15115=CARTESIAN_POINT('',(-16.0709141469374,1.31686085126343,8.05))!#15116=CARTESIAN_POINT('',(-14.8142911069411,-0.314535622805284,8.05009842519686))!#15117=CARTESIAN_POINT('',(-14.8142911069411,-0.314535622805284,8.05009842519686))!#15118=CARTESIAN_POINT('',(-15.796090369436,0.960074028695387,8.05009842519686))!#15119=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.314535622805289,8.05009842519686))!#15120=CARTESIAN_POINT('',(-14.5994750167063,-0.314535622805283,8.05000000000001))!#15121=CARTESIAN_POINT('',(-16.6717514438522,-0.314535622805287,8.05))!#15122=CARTESIAN_POINT('',(-14.5994750167063,-0.314535622805283,8.05009842519686))!#15123=CARTESIAN_POINT('',(-14.5994750167063,-0.314535622805283,8.05009842519686))!#15124=CARTESIAN_POINT('',(-17.4376761160508,-0.314535622805289,8.05009842519686))!#15125=CARTESIAN_POINT('Origin',(-15.660711632257,1.06377974001021,8.05009842519686))!#15126=CARTESIAN_POINT('',(-14.894905608874,0.0691644096508751,8.05000000000001))!#15127=CARTESIAN_POINT('',(-15.9356254160433,1.42083290429981,8.05))!#15128=CARTESIAN_POINT('',(-14.894905608874,0.0691644096508751,8.05009842519686))!#15129=CARTESIAN_POINT('',(-14.894905608874,0.0691644096508751,8.05009842519686))!#15130=CARTESIAN_POINT('',(-15.660711632257,1.06377974001021,8.05009842519686))!#15131=CARTESIAN_POINT('Origin',(-16.0219097131466,-1.3945118978554,8.05009842519686))!#15132=CARTESIAN_POINT('',(-14.8948300215096,0.0692625773806926,8.05000000000001))!#15133=CARTESIAN_POINT('',(-15.17684510223,-0.296999435725563,8.05))!#15134=CARTESIAN_POINT('',(-14.8948300215096,0.0692625773806926,8.05009842519686))!#15135=CARTESIAN_POINT('',(-14.8948300215096,0.0692625773806926,8.05009842519686))!#15136=CARTESIAN_POINT('',(-16.0219097131466,-1.3945118978554,8.05009842519686))!#15137=CARTESIAN_POINT('Origin',(-15.6610950975247,1.06405743902557,8.05009842519686))!#15138=CARTESIAN_POINT('',(-14.894846092815,0.0692834417689005,8.05000000000001))!#15139=CARTESIAN_POINT('',(-15.9359188750261,1.42084426159361,8.05))!#15140=CARTESIAN_POINT('',(-14.894846092815,0.0692834417689005,8.05009842519686))!#15141=CARTESIAN_POINT('',(-14.894846092815,0.0692834417689005,8.05009842519686))!#15142=CARTESIAN_POINT('',(-15.6610950975247,1.06405743902557,8.05009842519686))!#15143=CARTESIAN_POINT('Origin',(-16.0224469403,-1.39455469919106,8.05009842519686))!#15144=CARTESIAN_POINT('',(-14.818094325565,0.168921682142009,8.05000000000001))!#15145=CARTESIAN_POINT('',(-15.1771725094192,-0.297229387436154,8.05))!#15146=CARTESIAN_POINT('',(-14.818094325565,0.168921682142009,8.05009842519686))!#15147=CARTESIAN_POINT('',(-14.818094325565,0.168921682142009,8.05009842519686))!#15148=CARTESIAN_POINT('',(-16.0224469403,-1.39455469919106,8.05009842519686))!#15149=CARTESIAN_POINT('Origin',(-16.0219097131466,-1.3945118978554,8.05009842519686))!#15150=CARTESIAN_POINT('',(-14.5992917222318,0.453087627328329,8.05000000000001))!#15151=CARTESIAN_POINT('',(-15.17684510223,-0.296999435725563,8.05))!#15152=CARTESIAN_POINT('',(-14.5992917222318,0.453087627328329,8.05009842519686))!#15153=CARTESIAN_POINT('',(-14.5992917222318,0.453087627328329,8.05009842519686))!#15154=CARTESIAN_POINT('',(-16.0219097131466,-1.3945118978554,8.05009842519686))!#15155=CARTESIAN_POINT('Origin',(-17.4376761160508,0.453087627328324,8.05009842519686))!#15156=CARTESIAN_POINT('',(-14.8142911069411,0.453087627328329,8.05000000000001))!#15157=CARTESIAN_POINT('',(-16.6717514438522,0.453087627328325,8.05))!#15158=CARTESIAN_POINT('',(-14.8142911069411,0.453087627328329,8.05009842519686))!#15159=CARTESIAN_POINT('',(-14.8142911069411,0.453087627328329,8.05009842519686))!#15160=CARTESIAN_POINT('',(-17.4376761160508,0.453087627328324,8.05009842519686))!#15161=CARTESIAN_POINT('Origin',(-16.1574383146214,-1.29057026004526,8.05009842519686))!#15162=CARTESIAN_POINT('',(-15.3121638837406,-0.193244948290353,8.05))!#15163=CARTESIAN_POINT('',(-16.1574383146214,-1.29057026004526,8.05009842519686))!#15164=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304397547721017,8.05009842519686))!#15165=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304397547721017,8.05009842519686))!#15166=CARTESIAN_POINT('',(-14.4405897723991,-0.259691574424896,8.05009842519686))!#15167=CARTESIAN_POINT('',(-14.5574197962414,-0.259691574424896,8.05009842519686))!#15168=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05009842519686))!#15169=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.227642176646451, 8.05009842519686))!#15170=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.201484868710282, 8.05009842519686))!#15171=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.201484868710282, 8.05009842519686))!#15172=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.201484868710282, 8.05009842519686))!#15173=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.227642176646451, 8.05009842519686))!#15174=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05009842519686))!#15175=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05009842519686))!#15176=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.291740972203342, 8.05009842519686))!#15177=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.317898280139511, 8.05009842519686))!#15178=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.317898280139511, 8.05009842519686))!#15179=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.317898280139511, 8.05009842519686))!#15180=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.291740972203342, 8.05009842519686))!#15181=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05009842519686))!#15182=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05009842519686))!#15183=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.04998031496064))!#15184=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.291740972203342, 8.05009842519686))!#15185=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.291740972203342, 8.04998031496064))!#15186=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.317898280139511, 8.05009842519686))!#15187=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.317898280139511, 8.04998031496064))!#15188=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.317898280139511, 8.05009842519686))!#15189=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.317898280139511, 8.04998031496064))!#15190=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.317898280139511, 8.05009842519686))!#15191=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.317898280139511, 8.04998031496064))!#15192=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.291740972203342, 8.05009842519686))!#15193=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.291740972203342, 8.04998031496064))!#15194=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05009842519686))!#15195=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.04998031496064))!#15196=CARTESIAN_POINT('',(-14.4405897723991,-0.259691574424896,8.05000000000001))!#15197=CARTESIAN_POINT('',(-14.5574197962414,-0.259691574424896,8.05000000000001))!#15198=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05000000000001))!#15199=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.291740972203342, 8.05000000000001))!#15200=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.317898280139511, 8.05000000000001))!#15201=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.317898280139511, 8.05000000000001))!#15202=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.317898280139511, 8.05000000000001))!#15203=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.291740972203342, 8.05000000000001))!#15204=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05000000000001))!#15205=CARTESIAN_POINT('',(-14.4405897723991,-0.259691574424896,8.05009842519686))!#15206=CARTESIAN_POINT('',(-14.5574197962414,-0.259691574424896,8.05009842519686))!#15207=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05009842519686))!#15208=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.04998031496064))!#15209=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.227642176646451, 8.05009842519686))!#15210=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.227642176646451, 8.04998031496064))!#15211=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.201484868710282, 8.05009842519686))!#15212=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.201484868710282, 8.04998031496064))!#15213=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.201484868710282, 8.05009842519686))!#15214=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.201484868710282, 8.04998031496064))!#15215=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.201484868710282, 8.05009842519686))!#15216=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.201484868710282, 8.04998031496064))!#15217=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.227642176646451, 8.05009842519686))!#15218=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.227642176646451, 8.04998031496064))!#15219=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05009842519686))!#15220=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.04998031496064))!#15221=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.259691574424896, 8.05000000000001))!#15222=CARTESIAN_POINT('Ctrl Pts',(-14.5574197962414,-0.227642176646451, 8.05000000000001))!#15223=CARTESIAN_POINT('Ctrl Pts',(-14.5312922463347,-0.201484868710282, 8.05000000000001))!#15224=CARTESIAN_POINT('Ctrl Pts',(-14.4987964781137,-0.201484868710282, 8.05000000000001))!#15225=CARTESIAN_POINT('Ctrl Pts',(-14.4667470803352,-0.201484868710282, 8.05000000000001))!#15226=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.227642176646451, 8.05000000000001))!#15227=CARTESIAN_POINT('Ctrl Pts',(-14.4405897723991,-0.259691574424896, 8.05000000000001))!#15228=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.05009842519686))!#15229=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.04998031496064))!#15230=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.258811114341846, 8.05009842519686))!#15231=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.258811114341846, 8.04998031496064))!#15232=CARTESIAN_POINT('Ctrl Pts',(-15.6570641487021,0.281767869947481, 8.05009842519686))!#15233=CARTESIAN_POINT('Ctrl Pts',(-15.6570641487021,0.281767869947481, 8.04998031496064))!#15234=CARTESIAN_POINT('Ctrl Pts',(-15.6619196668572,0.303874666031687, 8.05009842519686))!#15235=CARTESIAN_POINT('Ctrl Pts',(-15.6619196668572,0.303874666031687, 8.04998031496064))!#15236=CARTESIAN_POINT('',(-15.6619196668628,0.303874666030442,8.05000000000001))!#15237=CARTESIAN_POINT('',(-15.6545685861147,0.235363004444393,8.05000000000001))!#15238=CARTESIAN_POINT('Ctrl Pts',(-15.6619196668572,0.303874666031687, 8.05000000000001))!#15239=CARTESIAN_POINT('Ctrl Pts',(-15.6570641487021,0.281767869947481, 8.05000000000001))!#15240=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.258811114341846, 8.05000000000001))!#15241=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.05000000000001))!#15242=CARTESIAN_POINT('',(-15.6619196668628,0.303874666030442,8.05009842519686))!#15243=CARTESIAN_POINT('',(-15.6619196668572,0.303874666031683,8.05009842519686))!#15244=CARTESIAN_POINT('',(-15.6545685861147,0.235363004444393,8.05009842519686))!#15245=CARTESIAN_POINT('Origin',(-15.9897771493886,0.198701216857462,8.05009842519686))!#15246=CARTESIAN_POINT('',(-15.6545685861147,0.235363004444393,8.05009842519686))!#15247=CARTESIAN_POINT('Ctrl Pts',(-15.661919666874,0.303874666027955,8.05009842519686))!#15248=CARTESIAN_POINT('Ctrl Pts',(-15.661919666874,0.303874666027955,8.04998031496064))!#15249=CARTESIAN_POINT('Ctrl Pts',(-15.6668067500168,0.325794600426911, 8.05009842519686))!#15250=CARTESIAN_POINT('Ctrl Pts',(-15.6668067500168,0.325794600426911, 8.04998031496064))!#15251=CARTESIAN_POINT('Ctrl Pts',(-15.6740207891431,0.346904000084117, 8.05009842519686))!#15252=CARTESIAN_POINT('Ctrl Pts',(-15.6740207891431,0.346904000084117, 8.04998031496064))!#15253=CARTESIAN_POINT('Ctrl Pts',(-15.683351362695,0.367118400008012,8.05009842519686))!#15254=CARTESIAN_POINT('Ctrl Pts',(-15.683351362695,0.367118400008012,8.04998031496064))!#15255=CARTESIAN_POINT('',(-15.6833513627512,0.367118400198796,8.05000000000001))!#15256=CARTESIAN_POINT('Ctrl Pts',(-15.683351362695,0.367118400008012,8.05000000000001))!#15257=CARTESIAN_POINT('Ctrl Pts',(-15.6740207891431,0.346904000084117, 8.05000000000001))!#15258=CARTESIAN_POINT('Ctrl Pts',(-15.6668067500168,0.325794600426911, 8.05000000000001))!#15259=CARTESIAN_POINT('Ctrl Pts',(-15.661919666874,0.303874666027955,8.05000000000001))!#15260=CARTESIAN_POINT('',(-15.6833513627512,0.367118400198796,8.05009842519686))!#15261=CARTESIAN_POINT('',(-15.6833513627306,0.367118400188952,8.05009842519686))!#15262=CARTESIAN_POINT('Origin',(-16.5601641343546,0.105405487058273,8.05009842519686))!#15263=CARTESIAN_POINT('Ctrl Pts',(-15.6833513630641,0.367118400898433, 8.05009842519686))!#15264=CARTESIAN_POINT('Ctrl Pts',(-15.6833513630641,0.367118400898433, 8.04998031496064))!#15265=CARTESIAN_POINT('Ctrl Pts',(-15.6847486103479,0.370090787501149, 8.05009842519686))!#15266=CARTESIAN_POINT('Ctrl Pts',(-15.6847486103479,0.370090787501149, 8.04998031496064))!#15267=CARTESIAN_POINT('Ctrl Pts',(-15.6861947274775,0.3730366479895,8.05009842519686))!#15268=CARTESIAN_POINT('Ctrl Pts',(-15.6861947274775,0.3730366479895,8.04998031496064))!#15269=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.05009842519686))!#15270=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.04998031496064))!#15271=CARTESIAN_POINT('',(-15.6876892729554,0.375954814847615,8.05000000000001))!#15272=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.05000000000001))!#15273=CARTESIAN_POINT('Ctrl Pts',(-15.6861947274775,0.3730366479895,8.05000000000001))!#15274=CARTESIAN_POINT('Ctrl Pts',(-15.6847486103479,0.370090787501149, 8.05000000000001))!#15275=CARTESIAN_POINT('Ctrl Pts',(-15.6833513630641,0.367118400898433, 8.05000000000001))!#15276=CARTESIAN_POINT('',(-15.6876892729554,0.375954814847615,8.05009842519686))!#15277=CARTESIAN_POINT('',(-15.6876892729554,0.375954814847615,8.05009842519686))!#15278=CARTESIAN_POINT('Origin',(-15.9461624441767,0.243584017186287,8.05009842519686))!#15279=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.05009842519686))!#15280=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.04998031496064))!#15281=CARTESIAN_POINT('Ctrl Pts',(-15.7174473024618,0.433060473470488, 8.05009842519686))!#15282=CARTESIAN_POINT('Ctrl Pts',(-15.7174473024618,0.433060473470488, 8.04998031496064))!#15283=CARTESIAN_POINT('Ctrl Pts',(-15.7870810915069,0.453638150874195, 8.05009842519686))!#15284=CARTESIAN_POINT('Ctrl Pts',(-15.7870810915069,0.453638150874195, 8.04998031496064))!#15285=CARTESIAN_POINT('Ctrl Pts',(-15.9482803373433,0.453638150874194, 8.05009842519686))!#15286=CARTESIAN_POINT('Ctrl Pts',(-15.9482803373433,0.453638150874194, 8.04998031496064))!#15287=CARTESIAN_POINT('',(-15.9482803373433,0.453638150874194,8.05000000000001))!#15288=CARTESIAN_POINT('Ctrl Pts',(-15.9482803373433,0.453638150874194, 8.05000000000001))!#15289=CARTESIAN_POINT('Ctrl Pts',(-15.7870810915069,0.453638150874195, 8.05000000000001))!#15290=CARTESIAN_POINT('Ctrl Pts',(-15.7174473024618,0.433060473470488, 8.05000000000001))!#15291=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.05000000000001))!#15292=CARTESIAN_POINT('',(-15.9482803373433,0.453638150874194,8.05009842519686))!#15293=CARTESIAN_POINT('',(-15.9482803373433,0.453638150874194,8.05009842519686))!#15294=CARTESIAN_POINT('Ctrl Pts',(-15.6876892729554,0.375954814847615, 8.05009842519686))!#15295=CARTESIAN_POINT('Ctrl Pts',(-15.7174473024618,0.433060473470488, 8.05009842519686))!#15296=CARTESIAN_POINT('Ctrl Pts',(-15.7870810915069,0.453638150874195, 8.05009842519686))!#15297=CARTESIAN_POINT('Ctrl Pts',(-15.9482803373433,0.453638150874194, 8.05009842519686))!#15298=CARTESIAN_POINT('Origin',(-17.4376761160508,0.453638150874192,8.05009842519686))!#15299=CARTESIAN_POINT('',(-16.4916619561311,0.453638150874193,8.05000000000001))!#15300=CARTESIAN_POINT('',(-16.6717514438522,0.453638150874193,8.05))!#15301=CARTESIAN_POINT('',(-16.4916619561311,0.453638150874193,8.05009842519686))!#15302=CARTESIAN_POINT('',(-16.4916619561311,0.453638150874193,8.05009842519686))!#15303=CARTESIAN_POINT('',(-17.4376761160508,0.453638150874192,8.05009842519686))!#15304=CARTESIAN_POINT('Origin',(-16.4916619561311,-0.304397547721016,8.05009842519686))!#15305=CARTESIAN_POINT('',(-16.4916619561311,-0.307512727841764,8.05000000000001))!#15306=CARTESIAN_POINT('',(-16.4916619561311,0.854051226139492,8.05))!#15307=CARTESIAN_POINT('',(-16.4916619561311,-0.307512727841764,8.05009842519686))!#15308=CARTESIAN_POINT('',(-16.4916619561311,-0.307512727841764,8.05009842519686))!#15309=CARTESIAN_POINT('',(-16.4916619561311,-0.304397547721016,8.05009842519686))!#15310=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.307512727841766,8.05009842519686))!#15311=CARTESIAN_POINT('',(-16.2973122654245,-0.307512727841764,8.05000000000001))!#15312=CARTESIAN_POINT('',(-16.6717514438522,-0.307512727841764,8.05))!#15313=CARTESIAN_POINT('',(-16.2973122654245,-0.307512727841764,8.05009842519686))!#15314=CARTESIAN_POINT('',(-16.2973122654245,-0.307512727841764,8.05009842519686))!#15315=CARTESIAN_POINT('',(-17.4376761160508,-0.307512727841766,8.05009842519686))!#15316=CARTESIAN_POINT('Origin',(-16.2973136628993,-0.303950215190582,8.05009842519686))!#15317=CARTESIAN_POINT('',(-16.297431263461,-0.00415698326091411,8.05000000000001))!#15318=CARTESIAN_POINT('',(-16.2977679722627,0.854197929472475,8.05))!#15319=CARTESIAN_POINT('',(-16.297431263461,-0.00415698326091411,8.05009842519686))!#15320=CARTESIAN_POINT('',(-16.297431263461,-0.00415698326091411,8.05009842519686))!#15321=CARTESIAN_POINT('',(-16.2973136628993,-0.303950215190582,8.05009842519686))!#15322=CARTESIAN_POINT('Origin',(-16.2972258485446,-0.303615250078013,8.05009842519686))!#15323=CARTESIAN_POINT('',(-16.297639603749,0.299565953104553,8.05000000000001))!#15324=CARTESIAN_POINT('',(-16.2980201315867,0.854307589027933,8.05))!#15325=CARTESIAN_POINT('',(-16.297639603749,0.299565953104553,8.05009842519686))!#15326=CARTESIAN_POINT('',(-16.297639603749,0.299565953104553,8.05009842519686))!#15327=CARTESIAN_POINT('',(-16.2972258485446,-0.303615250078013,8.05009842519686))!#15328=CARTESIAN_POINT('Origin',(-17.4376761160508,0.299565953104551,8.05009842519686))!#15329=CARTESIAN_POINT('',(-15.8463590862837,0.299565953104553,8.05000000000001))!#15330=CARTESIAN_POINT('',(-16.6717514438522,0.299565953104552,8.05))!#15331=CARTESIAN_POINT('',(-15.8463590862837,0.299565953104553,8.05009842519686))!#15332=CARTESIAN_POINT('',(-15.8463590862837,0.299565953104553,8.05009842519686))!#15333=CARTESIAN_POINT('',(-17.4376761160508,0.299565953104551,8.05009842519686))!#15334=CARTESIAN_POINT('Origin',(-15.8463590862837,-0.304397547721015,8.05009842519686))!#15335=CARTESIAN_POINT('',(-15.8463590862837,0.151951247737815,8.05000000000001))!#15336=CARTESIAN_POINT('',(-15.8463590862837,0.854051226139493,8.05))!#15337=CARTESIAN_POINT('',(-15.8463590862837,0.151951247737815,8.05009842519686))!#15338=CARTESIAN_POINT('',(-15.8463590862837,0.151951247737815,8.05009842519686))!#15339=CARTESIAN_POINT('',(-15.8463590862837,-0.304397547721015,8.05009842519686))!#15340=CARTESIAN_POINT('Origin',(-17.4376761160508,0.151951247737812,8.05009842519686))!#15341=CARTESIAN_POINT('',(-16.1846037286688,0.151951247737815,8.05000000000001))!#15342=CARTESIAN_POINT('',(-16.6717514438522,0.151951247737814,8.05))!#15343=CARTESIAN_POINT('',(-16.1846037286688,0.151951247737815,8.05009842519686))!#15344=CARTESIAN_POINT('',(-16.1846037286688,0.151951247737815,8.05009842519686))!#15345=CARTESIAN_POINT('',(-17.4376761160508,0.151951247737812,8.05009842519686))!#15346=CARTESIAN_POINT('Origin',(-16.1833813893242,-0.301012789399058,8.05009842519686))!#15347=CARTESIAN_POINT('',(-16.1845622499276,0.136580411342619,8.05000000000001))!#15348=CARTESIAN_POINT('',(-16.1865019037225,0.85536068904656,8.05))!#15349=CARTESIAN_POINT('',(-16.1845622499276,0.136580411342619,8.05009842519686))!#15350=CARTESIAN_POINT('',(-16.1845622499276,0.136580411342619,8.05009842519686))!#15351=CARTESIAN_POINT('',(-16.1833813893242,-0.301012789399058,8.05009842519686))!#15352=CARTESIAN_POINT('Origin',(-16.1829622552234,-0.299797091650866,8.05009842519686))!#15353=CARTESIAN_POINT('',(-16.1840532051229,-0.00225486116460638,8.05000000000001))!#15354=CARTESIAN_POINT('',(-16.1871993980214,0.855827854636347,8.05))!#15355=CARTESIAN_POINT('',(-16.1840532051229,-0.00225486116460638,8.05009842519686))!#15356=CARTESIAN_POINT('',(-16.1840532051229,-0.00225486116460638,8.05009842519686))!#15357=CARTESIAN_POINT('',(-16.1829622552234,-0.299797091650866,8.05009842519686))!#15358=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.00225486116460857, 8.05009842519686))!#15359=CARTESIAN_POINT('',(-15.9105471559291,-0.00225486116460581,8.05000000000001))!#15360=CARTESIAN_POINT('',(-16.6717514438522,-0.0022548611646073,8.05))!#15361=CARTESIAN_POINT('',(-15.9105471559291,-0.00225486116460581,8.05009842519686))!#15362=CARTESIAN_POINT('',(-15.9105471559291,-0.00225486116460581,8.05009842519686))!#15363=CARTESIAN_POINT('',(-17.4376761160508,-0.00225486116460857,8.05009842519686))!#15364=CARTESIAN_POINT('Ctrl Pts',(-15.9105471559291,-0.00225486116460581, 8.05009842519686))!#15365=CARTESIAN_POINT('Ctrl Pts',(-15.9105471559291,-0.00225486116460581, 8.04998031496064))!#15366=CARTESIAN_POINT('Ctrl Pts',(-15.8619764618951,-0.00225486116460573, 8.05009842519686))!#15367=CARTESIAN_POINT('Ctrl Pts',(-15.8619764618951,-0.00225486116460573, 8.04998031496064))!#15368=CARTESIAN_POINT('Ctrl Pts',(-15.827522584131,-0.00137474586453235, 8.05009842519686))!#15369=CARTESIAN_POINT('Ctrl Pts',(-15.827522584131,-0.00137474586453235, 8.04998031496064))!#15370=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173023,0.000991676054512121, 8.05009842519686))!#15371=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173023,0.000991676054512121, 8.04998031496064))!#15372=CARTESIAN_POINT('',(-15.80152601733,0.000991676065736782,8.05000000000001))!#15373=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173023,0.000991676054512121, 8.05000000000001))!#15374=CARTESIAN_POINT('Ctrl Pts',(-15.827522584131,-0.00137474586453235, 8.05000000000001))!#15375=CARTESIAN_POINT('Ctrl Pts',(-15.8619764618951,-0.00225486116460573, 8.05000000000001))!#15376=CARTESIAN_POINT('Ctrl Pts',(-15.9105471559291,-0.00225486116460581, 8.05000000000001))!#15377=CARTESIAN_POINT('',(-15.8015260173345,0.000991676061888416,8.05009842519686))!#15378=CARTESIAN_POINT('',(-15.8015260173481,0.000991676050343277,8.05009842519686))!#15379=CARTESIAN_POINT('Ctrl Pts',(-15.9105471559291,-0.00225486116460581, 8.05009842519686))!#15380=CARTESIAN_POINT('Ctrl Pts',(-15.8619764619236,-0.00225486116460573, 8.05009842519686))!#15381=CARTESIAN_POINT('Ctrl Pts',(-15.8275225841715,-0.00137474586556595, 8.05009842519686))!#15382=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173481,0.000991676050343277, 8.05009842519686))!#15383=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173073,0.000991676084978695, 8.05009842519686))!#15384=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173073,0.000991676084978695, 8.04998031496064))!#15385=CARTESIAN_POINT('Ctrl Pts',(-15.7850681451298,0.0025296016530411, 8.05009842519686))!#15386=CARTESIAN_POINT('Ctrl Pts',(-15.7850681451298,0.0025296016530411, 8.04998031496064))!#15387=CARTESIAN_POINT('Ctrl Pts',(-15.7719906687228,0.0046416078012767, 8.05009842519686))!#15388=CARTESIAN_POINT('Ctrl Pts',(-15.7719906687228,0.0046416078012767, 8.04998031496064))!#15389=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299926,0.00743790906843482, 8.05009842519686))!#15390=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299926,0.00743790906843482, 8.04998031496064))!#15391=CARTESIAN_POINT('',(-15.7608424299822,0.00743790902687083,8.05000000000001))!#15392=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299926,0.00743790906843482, 8.05000000000001))!#15393=CARTESIAN_POINT('Ctrl Pts',(-15.7719906687228,0.0046416078012767, 8.05000000000001))!#15394=CARTESIAN_POINT('Ctrl Pts',(-15.7850681451298,0.0025296016530411, 8.05000000000001))!#15395=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173073,0.000991676084978695, 8.05000000000001))!#15396=CARTESIAN_POINT('',(-15.7608424299822,0.00743790902687083,8.05009842519686))!#15397=CARTESIAN_POINT('',(-15.7608424299739,0.00743790899360911,8.05009842519686))!#15398=CARTESIAN_POINT('Ctrl Pts',(-15.8015260173073,0.000991676084978695, 8.05009842519686))!#15399=CARTESIAN_POINT('Ctrl Pts',(-15.7850681451298,0.0025296016530411, 8.05009842519686))!#15400=CARTESIAN_POINT('Ctrl Pts',(-15.7719906687228,0.0046416078012767, 8.05009842519686))!#15401=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299926,0.00743790906843482, 8.05009842519686))!#15402=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299739,0.00743790899362227, 8.05009842519686))!#15403=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299739,0.00743790899362227, 8.04998031496064))!#15404=CARTESIAN_POINT('Ctrl Pts',(-15.7498630737413,0.0102497893401462, 8.05009842519686))!#15405=CARTESIAN_POINT('Ctrl Pts',(-15.7498630737413,0.0102497893401462, 8.04998031496064))!#15406=CARTESIAN_POINT('Ctrl Pts',(-15.7407899618409,0.0137938872691414, 8.05009842519686))!#15407=CARTESIAN_POINT('Ctrl Pts',(-15.7407899618409,0.0137938872691414, 8.04998031496064))!#15408=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05009842519686))!#15409=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.04998031496064))!#15410=CARTESIAN_POINT('',(-15.7322370431265,0.018218663135831,8.05000000000001))!#15411=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05000000000001))!#15412=CARTESIAN_POINT('Ctrl Pts',(-15.7407899618409,0.0137938872691414, 8.05000000000001))!#15413=CARTESIAN_POINT('Ctrl Pts',(-15.7498630737413,0.0102497893401462, 8.05000000000001))!#15414=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299739,0.00743790899362227, 8.05000000000001))!#15415=CARTESIAN_POINT('',(-15.7322370431265,0.018218663135831,8.05009842519686))!#15416=CARTESIAN_POINT('',(-15.7322370431265,0.018218663135831,8.05009842519686))!#15417=CARTESIAN_POINT('Ctrl Pts',(-15.7608424299739,0.00743790899360907, 8.05009842519686))!#15418=CARTESIAN_POINT('Ctrl Pts',(-15.7498630737413,0.0102497893401462, 8.05009842519686))!#15419=CARTESIAN_POINT('Ctrl Pts',(-15.7407899618409,0.0137938872691414, 8.05009842519686))!#15420=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05009842519686))!#15421=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05009842519686))!#15422=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.04998031496064))!#15423=CARTESIAN_POINT('Ctrl Pts',(-15.6797438790771,0.0479469346127749, 8.05009842519686))!#15424=CARTESIAN_POINT('Ctrl Pts',(-15.6797438790771,0.0479469346127749, 8.04998031496064))!#15425=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.116598708684153, 8.05009842519686))!#15426=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.116598708684153, 8.04998031496064))!#15427=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.05009842519686))!#15428=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.04998031496064))!#15429=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.05000000000001))!#15430=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.116598708684153, 8.05000000000001))!#15431=CARTESIAN_POINT('Ctrl Pts',(-15.6797438790771,0.0479469346127749, 8.05000000000001))!#15432=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05000000000001))!#15433=CARTESIAN_POINT('Ctrl Pts',(-15.7322370431265,0.018218663135831, 8.05009842519686))!#15434=CARTESIAN_POINT('Ctrl Pts',(-15.6797438790771,0.0479469346127749, 8.05009842519686))!#15435=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.116598708684153, 8.05009842519686))!#15436=CARTESIAN_POINT('Ctrl Pts',(-15.6545685861147,0.235363004444393, 8.05009842519686))!#15437=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304397547721017,8.05009842519686))!#15438=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05009842519686))!#15439=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.04998031496064))!#15440=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.00911408500006001, 8.05009842519686))!#15441=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.00911408500006001, 8.04998031496064))!#15442=CARTESIAN_POINT('Ctrl Pts',(-16.6266656675379,0.0283357865183228, 8.05009842519686))!#15443=CARTESIAN_POINT('Ctrl Pts',(-16.6266656675379,0.0283357865183228, 8.04998031496064))!#15444=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696702,0.055798672813296, 8.05009842519686))!#15445=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696702,0.055798672813296, 8.04998031496064))!#15446=CARTESIAN_POINT('',(-16.6361977695586,0.0557986725114646,8.05000000000001))!#15447=CARTESIAN_POINT('',(-16.6224633748267,-0.0620089844135566,8.05000000000001))!#15448=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696702,0.055798672813296, 8.05000000000001))!#15449=CARTESIAN_POINT('Ctrl Pts',(-16.6266656675379,0.0283357865183228, 8.05000000000001))!#15450=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.00911408500006001, 8.05000000000001))!#15451=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05000000000001))!#15452=CARTESIAN_POINT('',(-16.6361977695586,0.0557986725114646,8.05009842519686))!#15453=CARTESIAN_POINT('',(-16.6361977695266,0.0557986723995629,8.05009842519686))!#15454=CARTESIAN_POINT('',(-16.6224633748267,-0.0620089844135566,8.05009842519686))!#15455=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05009842519686))!#15456=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.00911408526568354, 8.05009842519686))!#15457=CARTESIAN_POINT('Ctrl Pts',(-16.6266656674957,0.0283357861421969, 8.05009842519686))!#15458=CARTESIAN_POINT('Ctrl Pts',(-16.6361977695266,0.0557986723995629, 8.05009842519686))!#15459=CARTESIAN_POINT('',(-16.6224633748267,-0.0620089844135566,8.05009842519686))!#15460=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696227,0.0557986727352682, 8.05009842519686))!#15461=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696227,0.0557986727352682, 8.04998031496064))!#15462=CARTESIAN_POINT('Ctrl Pts',(-16.6387903852931,0.0632257150037898, 8.05009842519686))!#15463=CARTESIAN_POINT('Ctrl Pts',(-16.6387903852931,0.0632257150037898, 8.04998031496064))!#15464=CARTESIAN_POINT('Ctrl Pts',(-16.6417776238621,0.0699295087004862, 8.05009842519686))!#15465=CARTESIAN_POINT('Ctrl Pts',(-16.6417776238621,0.0699295087004862, 8.04998031496064))!#15466=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05009842519686))!#15467=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.04998031496064))!#15468=CARTESIAN_POINT('',(-16.6451836303549,0.0760236354521079,8.05000000000001))!#15469=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05000000000001))!#15470=CARTESIAN_POINT('Ctrl Pts',(-16.6417776238621,0.0699295087004862, 8.05000000000001))!#15471=CARTESIAN_POINT('Ctrl Pts',(-16.6387903852931,0.0632257150037898, 8.05000000000001))!#15472=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696227,0.0557986727352682, 8.05000000000001))!#15473=CARTESIAN_POINT('',(-16.6451836303549,0.0760236354521079,8.05009842519686))!#15474=CARTESIAN_POINT('',(-16.6451836303549,0.0760236354521079,8.05009842519686))!#15475=CARTESIAN_POINT('Ctrl Pts',(-16.6361977696227,0.0557986727352682, 8.05009842519686))!#15476=CARTESIAN_POINT('Ctrl Pts',(-16.6387903852931,0.0632257150037898, 8.05009842519686))!#15477=CARTESIAN_POINT('Ctrl Pts',(-16.6417776238621,0.0699295087004862, 8.05009842519686))!#15478=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05009842519686))!#15479=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05009842519686))!#15480=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.04998031496064))!#15481=CARTESIAN_POINT('Ctrl Pts',(-16.6636266327622,0.109129159752635, 8.05009842519686))!#15482=CARTESIAN_POINT('Ctrl Pts',(-16.6636266327622,0.109129159752635, 8.04998031496064))!#15483=CARTESIAN_POINT('Ctrl Pts',(-16.6986549314267,0.132452191197726, 8.05009842519686))!#15484=CARTESIAN_POINT('Ctrl Pts',(-16.6986549314267,0.132452191197726, 8.04998031496064))!#15485=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262169,0.144879953926713, 8.05009842519686))!#15486=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262169,0.144879953926713, 8.04998031496064))!#15487=CARTESIAN_POINT('',(-16.7474529262128,0.144879953942773,8.05000000000001))!#15488=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262169,0.144879953926713, 8.05000000000001))!#15489=CARTESIAN_POINT('Ctrl Pts',(-16.6986549314267,0.132452191197726, 8.05000000000001))!#15490=CARTESIAN_POINT('Ctrl Pts',(-16.6636266327622,0.109129159752635, 8.05000000000001))!#15491=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05000000000001))!#15492=CARTESIAN_POINT('',(-16.7474529262128,0.144879953942773,8.05009842519686))!#15493=CARTESIAN_POINT('',(-16.7474529262095,0.144879953955624,8.05009842519686))!#15494=CARTESIAN_POINT('Ctrl Pts',(-16.6451836303549,0.0760236354521079, 8.05009842519686))!#15495=CARTESIAN_POINT('Ctrl Pts',(-16.6636266327622,0.109129159752635, 8.05009842519686))!#15496=CARTESIAN_POINT('Ctrl Pts',(-16.6986549314267,0.132452191197726, 8.05009842519686))!#15497=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262169,0.144879953926713, 8.05009842519686))!#15498=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262095,0.14487995395562,8.05009842519686))!#15499=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262095,0.14487995395562,8.04998031496064))!#15500=CARTESIAN_POINT('Ctrl Pts',(-16.7744514098871,0.151678160876162, 8.05009842519686))!#15501=CARTESIAN_POINT('Ctrl Pts',(-16.7744514098871,0.151678160876162, 8.04998031496064))!#15502=CARTESIAN_POINT('Ctrl Pts',(-16.8056819042002,0.155150235909756, 8.05009842519686))!#15503=CARTESIAN_POINT('Ctrl Pts',(-16.8056819042002,0.155150235909756, 8.04998031496064))!#15504=CARTESIAN_POINT('Ctrl Pts',(-16.8406790051975,0.155150235909756, 8.05009842519686))!#15505=CARTESIAN_POINT('Ctrl Pts',(-16.8406790051975,0.155150235909756, 8.04998031496064))!#15506=CARTESIAN_POINT('',(-16.8406790051975,0.155150235909756,8.05000000000001))!#15507=CARTESIAN_POINT('Ctrl Pts',(-16.8406790051975,0.155150235909756, 8.05000000000001))!#15508=CARTESIAN_POINT('Ctrl Pts',(-16.8056819042002,0.155150235909756, 8.05000000000001))!#15509=CARTESIAN_POINT('Ctrl Pts',(-16.7744514098871,0.151678160876162, 8.05000000000001))!#15510=CARTESIAN_POINT('Ctrl Pts',(-16.7474529262095,0.14487995395562,8.05000000000001))!#15511=CARTESIAN_POINT('',(-16.8406790051975,0.155150235909756,8.05009842519686))!#15512=CARTESIAN_POINT('',(-16.8406790051975,0.155150235909756,8.05009842519686))!#15513=CARTESIAN_POINT('Origin',(-17.2756584951351,-0.274557850811038,8.05009842519686))!#15514=CARTESIAN_POINT('Origin',(-17.4376761160508,0.155150235909755,8.05009842519686))!#15515=CARTESIAN_POINT('',(-17.2559076699157,0.155150235909755,8.05000000000001))!#15516=CARTESIAN_POINT('',(-16.6717514438522,0.155150235909756,8.05))!#15517=CARTESIAN_POINT('',(-17.2559076699157,0.155150235909755,8.05009842519686))!#15518=CARTESIAN_POINT('',(-17.2559076699157,0.155150235909755,8.05009842519686))!#15519=CARTESIAN_POINT('',(-17.4376761160508,0.155150235909755,8.05009842519686))!#15520=CARTESIAN_POINT('Origin',(-17.2559076699157,-0.304397547721017,8.05009842519686))!#15521=CARTESIAN_POINT('',(-17.2559076699157,0.296828214389957,8.05000000000001))!#15522=CARTESIAN_POINT('',(-17.2559076699157,0.85405122613949,8.05))!#15523=CARTESIAN_POINT('',(-17.2559076699157,0.296828214389957,8.05009842519686))!#15524=CARTESIAN_POINT('',(-17.2559076699157,0.296828214389957,8.05009842519686))!#15525=CARTESIAN_POINT('',(-17.2559076699157,-0.304397547721017,8.05009842519686))!#15526=CARTESIAN_POINT('Origin',(-17.255892790901,-0.304397547721017,8.05009842519686))!#15527=CARTESIAN_POINT('',(-17.255892790901,0.297036520596505,8.05000000000001))!#15528=CARTESIAN_POINT('',(-17.255892790901,0.85405122613949,8.05))!#15529=CARTESIAN_POINT('',(-17.255892790901,0.297036520596505,8.05009842519686))!#15530=CARTESIAN_POINT('',(-17.255892790901,0.297036520596505,8.05009842519686))!#15531=CARTESIAN_POINT('',(-17.255892790901,-0.304397547721017,8.05009842519686))!#15532=CARTESIAN_POINT('Origin',(-17.4376761160508,0.297036520596505,8.05009842519686))!#15533=CARTESIAN_POINT('',(-16.6426541978468,0.297036520596507,8.05000000000001))!#15534=CARTESIAN_POINT('',(-16.6717514438522,0.297036520596506,8.05))!#15535=CARTESIAN_POINT('',(-16.6426541978468,0.297036520596507,8.05009842519686))!#15536=CARTESIAN_POINT('',(-16.6426541978468,0.297036520596507,8.05009842519686))!#15537=CARTESIAN_POINT('',(-17.4376761160508,0.297036520596505,8.05009842519686))!#15538=CARTESIAN_POINT('Origin',(-16.6410879945066,-0.302315936204167,8.05009842519686))!#15539=CARTESIAN_POINT('',(-16.6430708102599,0.456465163677308,8.05000000000001))!#15540=CARTESIAN_POINT('',(-16.6441099546588,0.854123457749142,8.05))!#15541=CARTESIAN_POINT('',(-16.6430708102599,0.456465163677308,8.05009842519686))!#15542=CARTESIAN_POINT('',(-16.6430708102599,0.456465163677308,8.05009842519686))!#15543=CARTESIAN_POINT('',(-16.6410879945066,-0.302315936204167,8.05009842519686))!#15544=CARTESIAN_POINT('Origin',(-17.4376761160508,0.456465163677306,8.05009842519686))!#15545=CARTESIAN_POINT('',(-17.1394942584865,0.456465163677307,8.05000000000001))!#15546=CARTESIAN_POINT('',(-16.6717514438522,0.456465163677308,8.05))!#15547=CARTESIAN_POINT('',(-17.1394942584865,0.456465163677307,8.05009842519686))!#15548=CARTESIAN_POINT('',(-17.1394942584865,0.456465163677307,8.05009842519686))!#15549=CARTESIAN_POINT('',(-17.4376761160508,0.456465163677306,8.05009842519686))!#15550=CARTESIAN_POINT('Ctrl Pts',(-17.1394942584865,0.456465163677307, 8.05009842519686))!#15551=CARTESIAN_POINT('Ctrl Pts',(-17.1394942584865,0.456465163677307, 8.04998031496064))!#15552=CARTESIAN_POINT('Ctrl Pts',(-17.3769484549332,0.456465163677306, 8.05009842519686))!#15553=CARTESIAN_POINT('Ctrl Pts',(-17.3769484549332,0.456465163677306, 8.04998031496064))!#15554=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.409224291835819, 8.05009842519686))!#15555=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.409224291835819, 8.04998031496064))!#15556=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05009842519686))!#15557=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.04998031496064))!#15558=CARTESIAN_POINT('',(-17.4397825342361,0.227015877167828,8.05000000000001))!#15559=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05000000000001))!#15560=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.409224291835819, 8.05000000000001))!#15561=CARTESIAN_POINT('Ctrl Pts',(-17.3769484549332,0.456465163677306, 8.05000000000001))!#15562=CARTESIAN_POINT('Ctrl Pts',(-17.1394942584865,0.456465163677307, 8.05000000000001))!#15563=CARTESIAN_POINT('',(-17.4397825342361,0.227015877167828,8.05009842519686))!#15564=CARTESIAN_POINT('',(-17.4397825342361,0.227015877167828,8.05009842519686))!#15565=CARTESIAN_POINT('Ctrl Pts',(-17.1394942584865,0.456465163677307, 8.05009842519686))!#15566=CARTESIAN_POINT('Ctrl Pts',(-17.3769484549332,0.456465163677306, 8.05009842519686))!#15567=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.409224291835819, 8.05009842519686))!#15568=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05009842519686))!#15569=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05009842519686))!#15570=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.04998031496064))!#15571=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.172658603897526, 8.05009842519686))!#15572=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.172658603897526, 8.04998031496064))!#15573=CARTESIAN_POINT('Ctrl Pts',(-17.433258416853,0.129247886145398,8.05009842519686))!#15574=CARTESIAN_POINT('Ctrl Pts',(-17.433258416853,0.129247886145398,8.04998031496064))!#15575=CARTESIAN_POINT('Ctrl Pts',(-17.4198929814646,0.0952032857238635, 8.05009842519686))!#15576=CARTESIAN_POINT('Ctrl Pts',(-17.4198929814646,0.0952032857238635, 8.04998031496064))!#15577=CARTESIAN_POINT('',(-17.4198929815079,0.0952032857068413,8.05000000000001))!#15578=CARTESIAN_POINT('Ctrl Pts',(-17.4198929814646,0.0952032857238635, 8.05000000000001))!#15579=CARTESIAN_POINT('Ctrl Pts',(-17.433258416853,0.129247886145398,8.05000000000001))!#15580=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.172658603897526, 8.05000000000001))!#15581=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05000000000001))!#15582=CARTESIAN_POINT('',(-17.4198929815079,0.0952032857068413,8.05009842519686))!#15583=CARTESIAN_POINT('',(-17.4198929815482,0.0952032856910493,8.05009842519686))!#15584=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.227015877167828, 8.05009842519686))!#15585=CARTESIAN_POINT('Ctrl Pts',(-17.4397825342361,0.172658603897526, 8.05009842519686))!#15586=CARTESIAN_POINT('Ctrl Pts',(-17.433258416853,0.129247886145398,8.05009842519686))!#15587=CARTESIAN_POINT('Ctrl Pts',(-17.4198929814646,0.0952032857238635, 8.05009842519686))!#15588=CARTESIAN_POINT('Ctrl Pts',(-17.4198929815482,0.0952032856910339, 8.05009842519686))!#15589=CARTESIAN_POINT('Ctrl Pts',(-17.4198929815482,0.0952032856910339, 8.04998031496064))!#15590=CARTESIAN_POINT('Ctrl Pts',(-17.4140176640677,0.080289816694672, 8.05009842519686))!#15591=CARTESIAN_POINT('Ctrl Pts',(-17.4140176640677,0.080289816694672, 8.04998031496064))!#15592=CARTESIAN_POINT('Ctrl Pts',(-17.4068326310436,0.0671516125547189, 8.05009842519686))!#15593=CARTESIAN_POINT('Ctrl Pts',(-17.4068326310436,0.0671516125547189, 8.04998031496064))!#15594=CARTESIAN_POINT('Ctrl Pts',(-17.3983145875115,0.0556562025732029, 8.05009842519686))!#15595=CARTESIAN_POINT('Ctrl Pts',(-17.3983145875115,0.0556562025732029, 8.04998031496064))!#15596=CARTESIAN_POINT('',(-17.3983145876083,0.0556562027754503,8.05000000000001))!#15597=CARTESIAN_POINT('Ctrl Pts',(-17.3983145875115,0.0556562025732029, 8.05000000000001))!#15598=CARTESIAN_POINT('Ctrl Pts',(-17.4068326310436,0.0671516125547189, 8.05000000000001))!#15599=CARTESIAN_POINT('Ctrl Pts',(-17.4140176640677,0.080289816694672, 8.05000000000001))!#15600=CARTESIAN_POINT('Ctrl Pts',(-17.4198929815482,0.0952032856910339, 8.05000000000001))!#15601=CARTESIAN_POINT('',(-17.3983145876083,0.0556562027754503,8.05009842519686))!#15602=CARTESIAN_POINT('',(-17.3983145877232,0.055656202858989,8.05009842519686))!#15603=CARTESIAN_POINT('Ctrl Pts',(-17.4198929815482,0.0952032856910493, 8.05009842519686))!#15604=CARTESIAN_POINT('Ctrl Pts',(-17.4140176641164,0.0802898168182688, 8.05009842519686))!#15605=CARTESIAN_POINT('Ctrl Pts',(-17.4068326311627,0.067151612772475, 8.05009842519686))!#15606=CARTESIAN_POINT('Ctrl Pts',(-17.3983145877232,0.055656202858989, 8.05009842519686))!#15607=CARTESIAN_POINT('Ctrl Pts',(-17.3983145873785,0.055656202608373, 8.05009842519686))!#15608=CARTESIAN_POINT('Ctrl Pts',(-17.3983145873785,0.055656202608373, 8.04998031496064))!#15609=CARTESIAN_POINT('Ctrl Pts',(-17.3873771133909,0.0409499094740278, 8.05009842519686))!#15610=CARTESIAN_POINT('Ctrl Pts',(-17.3873771133909,0.0409499094740278, 8.04998031496064))!#15611=CARTESIAN_POINT('Ctrl Pts',(-17.3742280845667,0.0289912055453172, 8.05009842519686))!#15612=CARTESIAN_POINT('Ctrl Pts',(-17.3742280845667,0.0289912055453172, 8.04998031496064))!#15613=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05009842519686))!#15614=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.04998031496064))!#15615=CARTESIAN_POINT('',(-17.358810935949,0.0194982584046059,8.05000000000001))!#15616=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05000000000001))!#15617=CARTESIAN_POINT('Ctrl Pts',(-17.3742280845667,0.0289912055453172, 8.05000000000001))!#15618=CARTESIAN_POINT('Ctrl Pts',(-17.3873771133909,0.0409499094740278, 8.05000000000001))!#15619=CARTESIAN_POINT('Ctrl Pts',(-17.3983145873785,0.055656202608373, 8.05000000000001))!#15620=CARTESIAN_POINT('',(-17.358810935949,0.0194982584046059,8.05009842519686))!#15621=CARTESIAN_POINT('',(-17.358810935949,0.0194982584046059,8.05009842519686))!#15622=CARTESIAN_POINT('Ctrl Pts',(-17.3983145873785,0.055656202608373, 8.05009842519686))!#15623=CARTESIAN_POINT('Ctrl Pts',(-17.3873771133909,0.0409499094740278, 8.05009842519686))!#15624=CARTESIAN_POINT('Ctrl Pts',(-17.3742280845667,0.0289912055453172, 8.05009842519686))!#15625=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05009842519686))!#15626=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05009842519686))!#15627=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.04998031496064))!#15628=CARTESIAN_POINT('Ctrl Pts',(-17.3508627657929,0.0143662331816833, 8.05009842519686))!#15629=CARTESIAN_POINT('Ctrl Pts',(-17.3508627657929,0.0143662331816833, 8.04998031496064))!#15630=CARTESIAN_POINT('Ctrl Pts',(-17.3411795978172,0.0101542068025013, 8.05009842519686))!#15631=CARTESIAN_POINT('Ctrl Pts',(-17.3411795978172,0.0101542068025013, 8.04998031496064))!#15632=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985419,0.00676000860163438, 8.05009842519686))!#15633=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985419,0.00676000860163438, 8.04998031496064))!#15634=CARTESIAN_POINT('',(-17.3294012985368,0.00676000861930887,8.05000000000001))!#15635=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985419,0.00676000860163438, 8.05000000000001))!#15636=CARTESIAN_POINT('Ctrl Pts',(-17.3411795978172,0.0101542068025013, 8.05000000000001))!#15637=CARTESIAN_POINT('Ctrl Pts',(-17.3508627657929,0.0143662331816833, 8.05000000000001))!#15638=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05000000000001))!#15639=CARTESIAN_POINT('',(-17.3294012985368,0.00676000861930891,8.05009842519686))!#15640=CARTESIAN_POINT('',(-17.3294012985342,0.00676000862813195,8.05009842519686))!#15641=CARTESIAN_POINT('Ctrl Pts',(-17.358810935949,0.0194982584046059, 8.05009842519686))!#15642=CARTESIAN_POINT('Ctrl Pts',(-17.3508627657929,0.0143662331816833, 8.05009842519686))!#15643=CARTESIAN_POINT('Ctrl Pts',(-17.3411795978172,0.0101542068025013, 8.05009842519686))!#15644=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985419,0.00676000860163438, 8.05009842519686))!#15645=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985343,0.00676000862816036, 8.05009842519686))!#15646=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985343,0.00676000862816036, 8.04998031496064))!#15647=CARTESIAN_POINT('Ctrl Pts',(-17.3005568632278,-0.00140463947408077, 8.05009842519686))!#15648=CARTESIAN_POINT('Ctrl Pts',(-17.3005568632278,-0.00140463947408077, 8.04998031496064))!#15649=CARTESIAN_POINT('Ctrl Pts',(-17.2591612558746,-0.00487356776117498, 8.05009842519686))!#15650=CARTESIAN_POINT('Ctrl Pts',(-17.2591612558746,-0.00487356776117498, 8.04998031496064))!#15651=CARTESIAN_POINT('Ctrl Pts',(-17.1999179373993,-0.0048735677611749, 8.05009842519686))!#15652=CARTESIAN_POINT('Ctrl Pts',(-17.1999179373993,-0.0048735677611749, 8.04998031496064))!#15653=CARTESIAN_POINT('',(-17.1999179373993,-0.0048735677611749,8.05000000000001))!#15654=CARTESIAN_POINT('Ctrl Pts',(-17.1999179373993,-0.0048735677611749, 8.05000000000001))!#15655=CARTESIAN_POINT('Ctrl Pts',(-17.2591612558746,-0.00487356776117498, 8.05000000000001))!#15656=CARTESIAN_POINT('Ctrl Pts',(-17.3005568632278,-0.00140463947408077, 8.05000000000001))!#15657=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985343,0.00676000862816036, 8.05000000000001))!#15658=CARTESIAN_POINT('',(-17.1999179373993,-0.0048735677611749,8.05009842519686))!#15659=CARTESIAN_POINT('',(-17.1999179373993,-0.0048735677611749,8.05009842519686))!#15660=CARTESIAN_POINT('Ctrl Pts',(-17.3294012985342,0.00676000862813194, 8.05009842519686))!#15661=CARTESIAN_POINT('Ctrl Pts',(-17.3005568632278,-0.00140463947408077, 8.05009842519686))!#15662=CARTESIAN_POINT('Ctrl Pts',(-17.2591612558746,-0.00487356776117498, 8.05009842519686))!#15663=CARTESIAN_POINT('Ctrl Pts',(-17.1999179373993,-0.0048735677611749, 8.05009842519686))!#15664=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.00487356776117533, 8.05009842519686))!#15665=CARTESIAN_POINT('',(-16.8053115871291,-0.0048735677611742,8.05000000000001))!#15666=CARTESIAN_POINT('',(-16.6717514438522,-0.00487356776117385,8.05))!#15667=CARTESIAN_POINT('',(-16.8053115871291,-0.0048735677611742,8.05009842519686))!#15668=CARTESIAN_POINT('',(-16.8053115871291,-0.0048735677611742,8.05009842519686))!#15669=CARTESIAN_POINT('',(-17.4376761160508,-0.00487356776117533,8.05009842519686))!#15670=CARTESIAN_POINT('Origin',(-16.8053115871291,-0.304397547721016,8.05009842519686))!#15671=CARTESIAN_POINT('',(-16.8053115871291,-0.153395893027863,8.05000000000001))!#15672=CARTESIAN_POINT('',(-16.8053115871291,0.854051226139491,8.05))!#15673=CARTESIAN_POINT('',(-16.8053115871291,-0.153395893027863,8.05009842519686))!#15674=CARTESIAN_POINT('',(-16.8053115871291,-0.153395893027863,8.05009842519686))!#15675=CARTESIAN_POINT('',(-16.8053115871291,-0.304397547721016,8.05009842519686))!#15676=CARTESIAN_POINT('Origin',(-17.4374616367091,-0.152503277877371,8.05009842519686))!#15677=CARTESIAN_POINT('',(-16.8054454982619,-0.153395703941259,8.05000000000001))!#15678=CARTESIAN_POINT('',(-16.6731742533708,-0.153582474973299,8.05))!#15679=CARTESIAN_POINT('',(-16.8054454982619,-0.153395703941259,8.05009842519686))!#15680=CARTESIAN_POINT('',(-16.8054454982619,-0.153395703941259,8.05009842519686))!#15681=CARTESIAN_POINT('',(-17.4374616367091,-0.152503277877371,8.05009842519686))!#15682=CARTESIAN_POINT('Origin',(-16.8054454982619,-0.304397547721016,8.05009842519686))!#15683=CARTESIAN_POINT('',(-16.8054454982619,-0.153425651057369,8.05000000000001))!#15684=CARTESIAN_POINT('',(-16.8054454982619,0.854051226139491,8.05))!#15685=CARTESIAN_POINT('',(-16.8054454982619,-0.153425651057369,8.05009842519686))!#15686=CARTESIAN_POINT('',(-16.8054454982619,-0.153425651057369,8.05009842519686))!#15687=CARTESIAN_POINT('',(-16.8054454982619,-0.304397547721016,8.05009842519686))!#15688=CARTESIAN_POINT('Origin',(-17.437468867488,-0.1525629626592,8.05009842519686))!#15689=CARTESIAN_POINT('',(-17.437550705439,-0.15256285095344,8.05000000000001))!#15690=CARTESIAN_POINT('',(-16.673126858854,-0.153606261080095,8.05))!#15691=CARTESIAN_POINT('',(-17.437550705439,-0.15256285095344,8.05009842519686))!#15692=CARTESIAN_POINT('',(-17.437550705439,-0.15256285095344,8.05009842519686))!#15693=CARTESIAN_POINT('',(-17.437468867488,-0.1525629626592,8.05009842519686))!#15694=CARTESIAN_POINT('Origin',(-17.4376102601448,-0.304397573551928,8.05009842519686))!#15695=CARTESIAN_POINT('',(-17.4376101980821,-0.304239344596057,8.05000000000001))!#15696=CARTESIAN_POINT('',(-17.4371557596478,0.85435144356088,8.05))!#15697=CARTESIAN_POINT('',(-17.4376101980821,-0.304239344596057,8.05009842519686))!#15698=CARTESIAN_POINT('',(-17.4376101980821,-0.304239344596057,8.05009842519686))!#15699=CARTESIAN_POINT('',(-17.4376102601448,-0.304397573551928,8.05009842519686))!#15700=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304239344596057,8.05009842519686))!#15701=CARTESIAN_POINT('',(-16.9195526624043,-0.304239344596056,8.05000000000001))!#15702=CARTESIAN_POINT('',(-16.6717514438522,-0.304239344596055,8.05))!#15703=CARTESIAN_POINT('',(-16.9195526624043,-0.304239344596056,8.05009842519686))!#15704=CARTESIAN_POINT('',(-16.9195526624043,-0.304239344596056,8.05009842519686))!#15705=CARTESIAN_POINT('',(-17.4376761160508,-0.304239344596057,8.05009842519686))!#15706=CARTESIAN_POINT('Ctrl Pts',(-16.9195526624043,-0.304239344596056, 8.05009842519686))!#15707=CARTESIAN_POINT('Ctrl Pts',(-16.9195526624043,-0.304239344596056, 8.04998031496064))!#15708=CARTESIAN_POINT('Ctrl Pts',(-16.8143218306818,-0.304239344596056, 8.05009842519686))!#15709=CARTESIAN_POINT('Ctrl Pts',(-16.8143218306818,-0.304239344596056, 8.04998031496064))!#15710=CARTESIAN_POINT('Ctrl Pts',(-16.7684641482729,-0.303231197392403, 8.05009842519686))!#15711=CARTESIAN_POINT('Ctrl Pts',(-16.7684641482729,-0.303231197392403, 8.04998031496064))!#15712=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215212,-0.295613670045552, 8.05009842519686))!#15713=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215212,-0.295613670045552, 8.04998031496064))!#15714=CARTESIAN_POINT('',(-16.7368716215419,-0.295613669960079,8.05000000000001))!#15715=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215212,-0.295613670045552, 8.05000000000001))!#15716=CARTESIAN_POINT('Ctrl Pts',(-16.7684641482729,-0.303231197392403, 8.05000000000001))!#15717=CARTESIAN_POINT('Ctrl Pts',(-16.8143218306818,-0.304239344596056, 8.05000000000001))!#15718=CARTESIAN_POINT('Ctrl Pts',(-16.9195526624043,-0.304239344596056, 8.05000000000001))!#15719=CARTESIAN_POINT('',(-16.7368716215419,-0.295613669960079,8.05009842519686))!#15720=CARTESIAN_POINT('',(-16.7368716215218,-0.295613670045718,8.05009842519686))!#15721=CARTESIAN_POINT('Ctrl Pts',(-16.9195526624043,-0.304239344596056, 8.05009842519686))!#15722=CARTESIAN_POINT('Ctrl Pts',(-16.8143218306818,-0.304239344596056, 8.05009842519686))!#15723=CARTESIAN_POINT('Ctrl Pts',(-16.7684641482729,-0.303231197392403, 8.05009842519686))!#15724=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215218,-0.295613670045718, 8.05009842519686))!#15725=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215642,-0.295613669868019, 8.05009842519686))!#15726=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215642,-0.295613669868019, 8.04998031496064))!#15727=CARTESIAN_POINT('Ctrl Pts',(-16.7307715466686,-0.29413635656697, 8.05009842519686))!#15728=CARTESIAN_POINT('Ctrl Pts',(-16.7307715466686,-0.29413635656697, 8.04998031496064))!#15729=CARTESIAN_POINT('Ctrl Pts',(-16.7251985944931,-0.292416660131399, 8.05009842519686))!#15730=CARTESIAN_POINT('Ctrl Pts',(-16.7251985944931,-0.292416660131399, 8.04998031496064))!#15731=CARTESIAN_POINT('Ctrl Pts',(-16.7198273015332,-0.290416032022227, 8.05009842519686))!#15732=CARTESIAN_POINT('Ctrl Pts',(-16.7198273015332,-0.290416032022227, 8.04998031496064))!#15733=CARTESIAN_POINT('',(-16.7198273015493,-0.290416032120424,8.05000000000001))!#15734=CARTESIAN_POINT('Ctrl Pts',(-16.7198273015332,-0.290416032022227, 8.05000000000001))!#15735=CARTESIAN_POINT('Ctrl Pts',(-16.7251985944931,-0.292416660131399, 8.05000000000001))!#15736=CARTESIAN_POINT('Ctrl Pts',(-16.7307715466686,-0.29413635656697, 8.05000000000001))!#15737=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215642,-0.295613669868019, 8.05000000000001))!#15738=CARTESIAN_POINT('',(-16.7198273015241,-0.290416032137419,8.05009842519686))!#15739=CARTESIAN_POINT('',(-16.719827301566,-0.290416032212391,8.05009842519686))!#15740=CARTESIAN_POINT('Ctrl Pts',(-16.7368716215642,-0.295613669868019, 8.05009842519686))!#15741=CARTESIAN_POINT('Ctrl Pts',(-16.7307715466686,-0.29413635656697, 8.05009842519686))!#15742=CARTESIAN_POINT('Ctrl Pts',(-16.7251985944931,-0.292416660131399, 8.05009842519686))!#15743=CARTESIAN_POINT('Ctrl Pts',(-16.7198273015332,-0.290416032022227, 8.05009842519686))!#15744=CARTESIAN_POINT('Ctrl Pts',(-16.7198273014731,-0.290416032177639, 8.05009842519686))!#15745=CARTESIAN_POINT('Ctrl Pts',(-16.7198273014731,-0.290416032177639, 8.04998031496064))!#15746=CARTESIAN_POINT('Ctrl Pts',(-16.7116474915983,-0.287357394569301, 8.05009842519686))!#15747=CARTESIAN_POINT('Ctrl Pts',(-16.7116474915983,-0.287357394569301, 8.04998031496064))!#15748=CARTESIAN_POINT('Ctrl Pts',(-16.7039492150271,-0.283631070142756, 8.05009842519686))!#15749=CARTESIAN_POINT('Ctrl Pts',(-16.7039492150271,-0.283631070142756, 8.04998031496064))!#15750=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05009842519686))!#15751=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.04998031496064))!#15752=CARTESIAN_POINT('',(-16.695578853324,-0.279093809663105,8.05000000000001))!#15753=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05000000000001))!#15754=CARTESIAN_POINT('Ctrl Pts',(-16.7039492150271,-0.283631070142756, 8.05000000000001))!#15755=CARTESIAN_POINT('Ctrl Pts',(-16.7116474915983,-0.287357394569301, 8.05000000000001))!#15756=CARTESIAN_POINT('Ctrl Pts',(-16.7198273014731,-0.290416032177639, 8.05000000000001))!#15757=CARTESIAN_POINT('',(-16.695578853324,-0.279093809663105,8.05009842519686))!#15758=CARTESIAN_POINT('',(-16.695578853324,-0.279093809663105,8.05009842519686))!#15759=CARTESIAN_POINT('Ctrl Pts',(-16.7198273014731,-0.290416032177639, 8.05009842519686))!#15760=CARTESIAN_POINT('Ctrl Pts',(-16.7116474915983,-0.287357394569301, 8.05009842519686))!#15761=CARTESIAN_POINT('Ctrl Pts',(-16.7039492150271,-0.283631070142756, 8.05009842519686))!#15762=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05009842519686))!#15763=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05009842519686))!#15764=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.04998031496064))!#15765=CARTESIAN_POINT('Ctrl Pts',(-16.6384434366717,-0.249484570304188, 8.05009842519686))!#15766=CARTESIAN_POINT('Ctrl Pts',(-16.6384434366717,-0.249484570304188, 8.04998031496064))!#15767=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.201395594621767, 8.05009842519686))!#15768=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.201395594621767, 8.04998031496064))!#15769=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05009842519686))!#15770=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.04998031496064))!#15771=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05000000000001))!#15772=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.201395594621767, 8.05000000000001))!#15773=CARTESIAN_POINT('Ctrl Pts',(-16.6384434366717,-0.249484570304188, 8.05000000000001))!#15774=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05000000000001))!#15775=CARTESIAN_POINT('Ctrl Pts',(-16.695578853324,-0.279093809663105, 8.05009842519686))!#15776=CARTESIAN_POINT('Ctrl Pts',(-16.6384434366717,-0.249484570304188, 8.05009842519686))!#15777=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.201395594621767, 8.05009842519686))!#15778=CARTESIAN_POINT('Ctrl Pts',(-16.6224633748267,-0.0620089844135566, 8.05009842519686))!#15779=CARTESIAN_POINT('Origin',(-17.4376761160508,-0.304397547721017,8.05009842519686))!#15780=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.40625000000001,-5.00000000000001))!#15781=CARTESIAN_POINT('',(-4.78099181459851,-5.75000000000001,-5.00000000000001))!#15782=CARTESIAN_POINT('',(-4.7506617287086,-5.75000000000001,-5.00000000000001))!#15783=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15784=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,-5.00000000000001))!#15785=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,-5.00000000000001))!#15786=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,-5.00000000000001))!#15787=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.40625000000001,-5.00000000000001))!#15788=CARTESIAN_POINT('',(-4.7506617287086,-5.25000000000001,-5.00000000000001))!#15789=CARTESIAN_POINT('',(-4.78099181459851,-5.25000000000001,-5.00000000000001))!#15790=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15791=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,-5.00000000000001))!#15792=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,-5.00000000000001))!#15793=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,-5.00000000000001))!#15794=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.16989209785192))!#15795=CARTESIAN_POINT('',(-4.63324425018108,-5.36741747852753,-5.18800000000001))!#15796=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,-5.15178419570384))!#15797=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.36741747852753, -5.18800000000001))!#15798=CARTESIAN_POINT('Ctrl Pts',(-4.6881617287086,-5.31250000000001,-5.18800000000001))!#15799=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.23483495705505, -5.15178419570384))!#15800=CARTESIAN_POINT('',(-4.89840929312603,-5.36741747852753,-5.18800000000001))!#15801=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.18800000000001))!#15802=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.23483495705505, -5.15178419570384))!#15803=CARTESIAN_POINT('Ctrl Pts',(-4.84349181459851,-5.31250000000001, -5.18800000000001))!#15804=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.36741747852753, -5.18800000000001))!#15805=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.16989209785192))!#15806=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,-5.15178419570384))!#15807=CARTESIAN_POINT('',(-4.89840929312603,-5.63258252147249,-5.18800000000001))!#15808=CARTESIAN_POINT('Ctrl Pts',(-5.03099181459851,-5.50000000000001, -5.15178419570384))!#15809=CARTESIAN_POINT('Ctrl Pts',(-4.95332677165356,-5.57766504294496, -5.18800000000001))!#15810=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.63258252147249, -5.18800000000001))!#15811=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.36741747852753, -5.18800000000001))!#15812=CARTESIAN_POINT('Ctrl Pts',(-4.95332677165356,-5.42233495705505, -5.18800000000001))!#15813=CARTESIAN_POINT('Ctrl Pts',(-5.03099181459851,-5.50000000000001, -5.15178419570384))!#15814=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.18800000000001))!#15815=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.16989209785192))!#15816=CARTESIAN_POINT('',(-4.63324425018108,-5.63258252147249,-5.18800000000001))!#15817=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,-5.15178419570384))!#15818=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.63258252147249, -5.18800000000001))!#15819=CARTESIAN_POINT('Ctrl Pts',(-4.57832677165355,-5.57766504294496, -5.18800000000001))!#15820=CARTESIAN_POINT('Ctrl Pts',(-4.5006617287086,-5.50000000000001,-5.15178419570384))!#15821=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.18800000000001))!#15822=CARTESIAN_POINT('Ctrl Pts',(-4.5006617287086,-5.50000000000001,-5.15178419570384))!#15823=CARTESIAN_POINT('Ctrl Pts',(-4.57832677165355,-5.42233495705505, -5.18800000000001))!#15824=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.36741747852753, -5.18800000000001))!#15825=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.16989209785192))!#15826=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,-5.15178419570384))!#15827=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.63258252147249, -5.18800000000001))!#15828=CARTESIAN_POINT('Ctrl Pts',(-4.84349181459851,-5.68750000000001, -5.18800000000001))!#15829=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.76516504294496, -5.15178419570384))!#15830=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,-5.18800000000001))!#15831=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.76516504294496, -5.15178419570384))!#15832=CARTESIAN_POINT('Ctrl Pts',(-4.6881617287086,-5.68750000000001,-5.18800000000001))!#15833=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.63258252147249, -5.18800000000001))!#15834=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.76516504294496,-5.00000000000001))!#15835=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,-5.00000000000001))!#15836=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,-5.00000000000001))!#15837=CARTESIAN_POINT('',(-8.41953551091731,-9.41887378220872,-5.00000000000001))!#15838=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,-5.00000000000001))!#15839=CARTESIAN_POINT('Origin',(-4.5006617287086,-5.50000000000001,-5.00000000000001))!#15840=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,-5.00000000000001))!#15841=CARTESIAN_POINT('',(-8.28695298944484,-1.71370873926377,-5.))!#15842=CARTESIAN_POINT('Origin',(-5.03099181459851,-5.50000000000001,-5.00000000000001))!#15843=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,-5.00000000000001))!#15844=CARTESIAN_POINT('',(-8.68470055386227,-1.84629126073625,-5.))!#15845=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,-5.00000000000001))!#15846=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.23483495705505,-5.00000000000001))!#15847=CARTESIAN_POINT('',(-8.55211803238979,-9.02112621779129,-5.00000000000001))!#15848=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.40625000000001,-5.18800000000001))!#15849=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.5,-5.00000000000002))!#15850=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15851=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15852=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15853=CARTESIAN_POINT('',(-3.76582677165356,-5.50000000000001,-5.))!#15854=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15855=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.5,-5.00000000000002))!#15856=CARTESIAN_POINT('',(-18.6306617287086,-5.75,-5.00000000000001))!#15857=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15858=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,-5.00000000000001))!#15859=CARTESIAN_POINT('',(-18.8295355109173,-5.94887378220872,-5.00000000000002))!#15860=CARTESIAN_POINT('',(-18.6306617287086,-5.25,-5.00000000000001))!#15861=CARTESIAN_POINT('',(-18.6969529894448,-5.18370873926377,-5.00000000000002))!#15862=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15863=CARTESIAN_POINT('Origin',(-18.5520767716536,-5.40625000000001,-5.00000000000001))!#15864=CARTESIAN_POINT('',(-18.6609918145985,-5.75,-5.00000000000001))!#15865=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15866=CARTESIAN_POINT('',(-18.6458267716536,-5.76516504294496,-5.00000000000001))!#15867=CARTESIAN_POINT('',(-18.6458267716536,-5.76516504294496,-5.00000000000001))!#15868=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,-5.00000000000001))!#15869=CARTESIAN_POINT('Origin',(-18.5520767716536,-5.40625000000001,-5.00000000000001))!#15870=CARTESIAN_POINT('',(-18.6609918145985,-5.25,-5.00000000000001))!#15871=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15872=CARTESIAN_POINT('',(-18.6458267716536,-5.23483495705505,-5.00000000000001))!#15873=CARTESIAN_POINT('',(-18.6458267716536,-5.23483495705505,-5.00000000000001))!#15874=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,-5.00000000000001))!#15875=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.16989209785193))!#15876=CARTESIAN_POINT('',(-18.5132442501811,-5.36741747852753,-5.18800000000001))!#15877=CARTESIAN_POINT('',(-18.6458267716536,-5.23483495705505,-5.15178419570384))!#15878=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.36741747852753, -5.18800000000001))!#15879=CARTESIAN_POINT('Ctrl Pts',(-18.5681617287086,-5.31250000000001, -5.18800000000001))!#15880=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716536,-5.23483495705505, -5.15178419570384))!#15881=CARTESIAN_POINT('',(-18.778409293126,-5.36741747852753,-5.18800000000001))!#15882=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.18800000000001))!#15883=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716536,-5.23483495705505, -5.15178419570384))!#15884=CARTESIAN_POINT('Ctrl Pts',(-18.7234918145985,-5.31250000000001, -5.18800000000001))!#15885=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.36741747852753,-5.18800000000001))!#15886=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.16989209785193))!#15887=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,-5.15178419570384))!#15888=CARTESIAN_POINT('',(-18.778409293126,-5.63258252147248,-5.18800000000001))!#15889=CARTESIAN_POINT('Ctrl Pts',(-18.9109918145985,-5.50000000000001, -5.15178419570384))!#15890=CARTESIAN_POINT('Ctrl Pts',(-18.8333267716536,-5.57766504294496, -5.18800000000001))!#15891=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.63258252147248,-5.18800000000001))!#15892=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.36741747852753,-5.18800000000001))!#15893=CARTESIAN_POINT('Ctrl Pts',(-18.8333267716536,-5.42233495705505, -5.18800000000001))!#15894=CARTESIAN_POINT('Ctrl Pts',(-18.9109918145985,-5.50000000000001, -5.15178419570384))!#15895=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.18800000000001))!#15896=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.16989209785193))!#15897=CARTESIAN_POINT('',(-18.5132442501811,-5.63258252147248,-5.18800000000001))!#15898=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,-5.15178419570384))!#15899=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.63258252147248, -5.18800000000001))!#15900=CARTESIAN_POINT('Ctrl Pts',(-18.4583267716536,-5.57766504294496, -5.18800000000001))!#15901=CARTESIAN_POINT('Ctrl Pts',(-18.3806617287086,-5.50000000000001, -5.15178419570384))!#15902=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.18800000000001))!#15903=CARTESIAN_POINT('Ctrl Pts',(-18.3806617287086,-5.50000000000001, -5.15178419570384))!#15904=CARTESIAN_POINT('Ctrl Pts',(-18.4583267716536,-5.42233495705505, -5.18800000000001))!#15905=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.36741747852753, -5.18800000000001))!#15906=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.16989209785193))!#15907=CARTESIAN_POINT('',(-18.6458267716536,-5.76516504294496,-5.15178419570384))!#15908=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.63258252147248,-5.18800000000001))!#15909=CARTESIAN_POINT('Ctrl Pts',(-18.7234918145985,-5.68750000000001, -5.18800000000001))!#15910=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716536,-5.76516504294496, -5.15178419570384))!#15911=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.50000000000001,-5.18800000000001))!#15912=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716536,-5.76516504294496, -5.15178419570384))!#15913=CARTESIAN_POINT('Ctrl Pts',(-18.5681617287086,-5.68750000000001, -5.18800000000001))!#15914=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.63258252147248, -5.18800000000001))!#15915=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.76516504294496,-5.00000000000001))!#15916=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,-5.00000000000001))!#15917=CARTESIAN_POINT('',(-18.6458267716536,-5.76516504294496,-5.00000000000001))!#15918=CARTESIAN_POINT('Origin',(-18.3806617287086,-5.50000000000001,-5.00000000000001))!#15919=CARTESIAN_POINT('',(-18.6458267716536,-5.23483495705505,-5.00000000000001))!#15920=CARTESIAN_POINT('Origin',(-18.9109918145985,-5.50000000000001,-5.00000000000001))!#15921=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,-5.00000000000001))!#15922=CARTESIAN_POINT('',(-19.0947005538623,-5.31629126073625,-5.00000000000002))!#15923=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,-5.00000000000001))!#15924=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.23483495705505,-5.00000000000001))!#15925=CARTESIAN_POINT('',(-18.9621180323898,-5.55112621779129,-5.00000000000002))!#15926=CARTESIAN_POINT('Origin',(-18.5520767716536,-5.40625000000001,-5.18800000000001))!#15927=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.5,-5.00000000000002))!#15928=CARTESIAN_POINT('',(-19.6458267716536,-5.75,-5.00000000000002))!#15929=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-5.))!#15930=CARTESIAN_POINT('',(-19.6458267716536,-5.25,-5.00000000000002))!#15931=CARTESIAN_POINT('',(-19.6458267716536,-5.5,-5.00000000000002))!#15932=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,-5.))!#15933=CARTESIAN_POINT('Origin',(-4.76582677165356,-6.76500000000001,-4.25000000000001))!#15934=CARTESIAN_POINT('',(-4.26582677165355,-8.25000000000001,-4.25000000000001))!#15935=CARTESIAN_POINT('',(-5.26582677165355,-8.25000000000001,-4.25000000000001))!#15936=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,-4.25000000000001))!#15937=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,-4.25000000000001))!#15938=CARTESIAN_POINT('',(-5.26582677165355,-5.75000000000001,-4.25000000000001))!#15939=CARTESIAN_POINT('',(-5.26582677165355,-6.76500000000001,-4.25000000000001))!#15940=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.75000000000001,-4.25000000000001))!#15941=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,-4.25000000000001))!#15942=CARTESIAN_POINT('',(-4.76582677165356,-8.75000000000001,-4.25000000000001))!#15943=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,-4.25000000000001))!#15944=CARTESIAN_POINT('Origin',(-18.6458267716536,-6.76500000000001,-4.25000000000001))!#15945=CARTESIAN_POINT('',(-18.1458267716536,-8.25000000000001,-4.25000000000001))!#15946=CARTESIAN_POINT('',(-19.1458267716536,-8.25000000000001,-4.25000000000001))!#15947=CARTESIAN_POINT('Origin',(-18.6458267716536,-8.25000000000001,-4.25000000000001))!#15948=CARTESIAN_POINT('Origin',(-18.6458267716536,-8.25000000000001,-4.25000000000001))!#15949=CARTESIAN_POINT('',(-19.1458267716536,-5.75000000000001,-4.25000000000001))!#15950=CARTESIAN_POINT('',(-19.1458267716536,-6.76500000000001,-4.25000000000001))!#15951=CARTESIAN_POINT('Origin',(-18.6458267716536,-5.75000000000001,-4.25000000000001))!#15952=CARTESIAN_POINT('Origin',(-18.6458267716536,-8.25000000000001,-4.25000000000001))!#15953=CARTESIAN_POINT('',(-18.6458267716536,-8.75000000000001,-4.25000000000001))!#15954=CARTESIAN_POINT('Origin',(-18.6458267716536,-8.25000000000001,-4.25000000000001))!#15955=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.25000000000001,-4.25000000000001))!#15956=CARTESIAN_POINT('',(-15.8508267716535,-5.25,-4.25))!#15957=CARTESIAN_POINT('',(-15.4408267716535,-5.25,-4.25))!#15958=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.25,-4.25))!#15959=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.25,-4.25))!#15960=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.25000000000001,-4.25000000000001))!#15961=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-4.25))!#15962=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-3.50000000000002))!#15963=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-3.04489786353293))!#15964=CARTESIAN_POINT('',(-17.1458267716535,-5.25,-4.75))!#15965=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.25,-4.25))!#15966=CARTESIAN_POINT('',(-10.1458267716535,-5.25,-4.75))!#15967=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-4.75))!#15968=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-4.25))!#15969=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.25,-4.25))!#15970=CARTESIAN_POINT('',(-9.64582677165354,-5.25000000000001,-3.50000000000001))!#15971=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-3.04489786353293))!#15972=CARTESIAN_POINT('',(-3.76582677165356,-5.25000000000001,-3.5))!#15973=CARTESIAN_POINT('',(-19.6458267716536,-5.25,-3.50000000000002))!#15974=CARTESIAN_POINT('',(-3.76582677165356,-5.25000000000001,-3.5))!#15975=CARTESIAN_POINT('',(-19.6458267716536,-5.25,-3.50000000000002))!#15976=CARTESIAN_POINT('',(-19.6458267716536,-5.25,-5.00000000000002))!#15977=CARTESIAN_POINT('',(-19.6458267716536,-5.25,-3.50000000000002))!#15978=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.75000000000001,-4.25000000000001))!#15979=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-3.5))!#15980=CARTESIAN_POINT('',(-3.76582677165356,-5.75000000000001,-3.5))!#15981=CARTESIAN_POINT('',(-19.6458267716536,-5.75,-3.50000000000002))!#15982=CARTESIAN_POINT('',(-19.6458267716536,-5.75,-3.50000000000002))!#15983=CARTESIAN_POINT('',(-19.6458267716536,-5.75,-5.00000000000002))!#15984=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.5,-3.50000000000002))!#15985=CARTESIAN_POINT('',(-19.6458267716536,-5.5,-3.50000000000002))!#15986=CARTESIAN_POINT('Origin',(-3.76582677165356,-5.50000000000001,-3.5))!#15987=CARTESIAN_POINT('',(-3.76582677165356,-5.50000000000001,-3.5))!#15988=CARTESIAN_POINT('',(-6.70582677165355,-5.25,-3.50000000000001))!#15989=CARTESIAN_POINT('Origin',(-3.76582677165356,-5.50000000000001,-5.))!#15990=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.25,-4.75))!#15991=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-3.04489786353293))!#15992=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-3.04489786353293))!#15993=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-3.04489786353293))!#15994=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-3.04489786353293))!#15995=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-3.04489786353293))!#15996=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.59375000000001,5.00000000000001))!#15997=CARTESIAN_POINT('',(-4.7506617287086,-5.75000000000001,5.00000000000001))!#15998=CARTESIAN_POINT('',(-4.78099181459851,-5.75000000000001,5.00000000000001))!#15999=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16000=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,5.00000000000001))!#16001=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,5.00000000000001))!#16002=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,5.00000000000001))!#16003=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.59375000000001,5.00000000000001))!#16004=CARTESIAN_POINT('',(-4.78099181459851,-5.25000000000001,5.00000000000001))!#16005=CARTESIAN_POINT('',(-4.7506617287086,-5.25000000000001,5.00000000000001))!#16006=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16007=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,5.00000000000001))!#16008=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,5.00000000000001))!#16009=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,5.00000000000001))!#16010=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.16989209785192))!#16011=CARTESIAN_POINT('',(-4.63324425018108,-5.63258252147249,5.18800000000001))!#16012=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,5.15178419570384))!#16013=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.63258252147249, 5.18800000000001))!#16014=CARTESIAN_POINT('Ctrl Pts',(-4.6881617287086,-5.68750000000001,5.18800000000001))!#16015=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.76516504294496, 5.15178419570384))!#16016=CARTESIAN_POINT('',(-4.89840929312603,-5.63258252147249,5.18800000000001))!#16017=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.18800000000001))!#16018=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.76516504294496, 5.15178419570384))!#16019=CARTESIAN_POINT('Ctrl Pts',(-4.84349181459851,-5.68750000000001, 5.18800000000001))!#16020=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.63258252147249, 5.18800000000001))!#16021=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.16989209785192))!#16022=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,5.15178419570384))!#16023=CARTESIAN_POINT('',(-4.89840929312603,-5.36741747852753,5.18800000000001))!#16024=CARTESIAN_POINT('Ctrl Pts',(-5.03099181459851,-5.50000000000001, 5.15178419570384))!#16025=CARTESIAN_POINT('Ctrl Pts',(-4.95332677165356,-5.42233495705505, 5.18800000000001))!#16026=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.36741747852753, 5.18800000000001))!#16027=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.63258252147249, 5.18800000000001))!#16028=CARTESIAN_POINT('Ctrl Pts',(-4.95332677165356,-5.57766504294496, 5.18800000000001))!#16029=CARTESIAN_POINT('Ctrl Pts',(-5.03099181459851,-5.50000000000001, 5.15178419570384))!#16030=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.18800000000001))!#16031=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.16989209785192))!#16032=CARTESIAN_POINT('',(-4.63324425018108,-5.36741747852753,5.18800000000001))!#16033=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,5.15178419570384))!#16034=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.36741747852753, 5.18800000000001))!#16035=CARTESIAN_POINT('Ctrl Pts',(-4.57832677165356,-5.42233495705505, 5.18800000000001))!#16036=CARTESIAN_POINT('Ctrl Pts',(-4.5006617287086,-5.50000000000001,5.15178419570384))!#16037=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.18800000000001))!#16038=CARTESIAN_POINT('Ctrl Pts',(-4.5006617287086,-5.50000000000001,5.15178419570384))!#16039=CARTESIAN_POINT('Ctrl Pts',(-4.57832677165356,-5.57766504294496, 5.18800000000001))!#16040=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.63258252147249, 5.18800000000001))!#16041=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.16989209785192))!#16042=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,5.15178419570384))!#16043=CARTESIAN_POINT('Ctrl Pts',(-4.89840929312603,-5.36741747852753, 5.18800000000001))!#16044=CARTESIAN_POINT('Ctrl Pts',(-4.84349181459851,-5.31250000000001, 5.18800000000001))!#16045=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.23483495705505, 5.15178419570384))!#16046=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.50000000000001,5.18800000000001))!#16047=CARTESIAN_POINT('Ctrl Pts',(-4.76582677165356,-5.23483495705505, 5.15178419570384))!#16048=CARTESIAN_POINT('Ctrl Pts',(-4.6881617287086,-5.31250000000001,5.18800000000001))!#16049=CARTESIAN_POINT('Ctrl Pts',(-4.63324425018108,-5.36741747852753, 5.18800000000001))!#16050=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.23483495705505,5.00000000000001))!#16051=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,5.00000000000001))!#16052=CARTESIAN_POINT('',(-4.5006617287086,-5.50000000000001,5.00000000000001))!#16053=CARTESIAN_POINT('',(-8.41953551091732,-1.58112621779129,5.00000000000001))!#16054=CARTESIAN_POINT('',(-4.76582677165356,-5.23483495705505,5.00000000000001))!#16055=CARTESIAN_POINT('Origin',(-4.5006617287086,-5.50000000000001,5.00000000000001))!#16056=CARTESIAN_POINT('',(-4.76582677165356,-5.76516504294496,5.00000000000001))!#16057=CARTESIAN_POINT('',(-8.28695298944484,-9.28629126073625,5.00000000000001))!#16058=CARTESIAN_POINT('Origin',(-5.03099181459851,-5.50000000000001,5.00000000000001))!#16059=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,5.00000000000001))!#16060=CARTESIAN_POINT('',(-8.68470055386227,-9.15370873926377,5.00000000000001))!#16061=CARTESIAN_POINT('',(-5.03099181459851,-5.50000000000001,5.00000000000001))!#16062=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.76516504294496,5.00000000000001))!#16063=CARTESIAN_POINT('',(-8.55211803238979,-1.97887378220873,5.00000000000001))!#16064=CARTESIAN_POINT('Origin',(-4.67207677165356,-5.59375000000001,5.18800000000001))!#16065=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.50000000000001,5.))!#16066=CARTESIAN_POINT('',(-18.6306617287086,-5.25000000000001,5.))!#16067=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16068=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,5.))!#16069=CARTESIAN_POINT('',(-18.8295355109173,-5.05112621779129,5.))!#16070=CARTESIAN_POINT('',(-18.6306617287086,-5.75000000000001,5.))!#16071=CARTESIAN_POINT('',(-18.6969529894448,-5.81629126073625,5.))!#16072=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16073=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.50000000000001,5.))!#16074=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16075=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16076=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16077=CARTESIAN_POINT('',(-3.76582677165355,-5.50000000000001,5.00000000000001))!#16078=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16079=CARTESIAN_POINT('Origin',(-18.5520767716535,-5.59375000000001,5.))!#16080=CARTESIAN_POINT('',(-18.6609918145985,-5.75000000000001,5.))!#16081=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16082=CARTESIAN_POINT('',(-18.6458267716535,-5.76516504294497,5.))!#16083=CARTESIAN_POINT('',(-18.6458267716535,-5.76516504294497,5.))!#16084=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,5.))!#16085=CARTESIAN_POINT('Origin',(-18.5520767716535,-5.59375000000001,5.))!#16086=CARTESIAN_POINT('',(-18.6609918145985,-5.25000000000001,5.))!#16087=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16088=CARTESIAN_POINT('',(-18.6458267716535,-5.23483495705505,5.))!#16089=CARTESIAN_POINT('',(-18.6458267716535,-5.23483495705505,5.))!#16090=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,5.))!#16091=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.16989209785192))!#16092=CARTESIAN_POINT('',(-18.5132442501811,-5.63258252147249,5.188))!#16093=CARTESIAN_POINT('',(-18.6458267716535,-5.76516504294497,5.15178419570383))!#16094=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.63258252147249, 5.188))!#16095=CARTESIAN_POINT('Ctrl Pts',(-18.5681617287086,-5.68750000000001, 5.188))!#16096=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716535,-5.76516504294497, 5.15178419570383))!#16097=CARTESIAN_POINT('',(-18.778409293126,-5.63258252147249,5.188))!#16098=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.188))!#16099=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716535,-5.76516504294497, 5.15178419570383))!#16100=CARTESIAN_POINT('Ctrl Pts',(-18.7234918145985,-5.68750000000001, 5.188))!#16101=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.63258252147249,5.188))!#16102=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.16989209785192))!#16103=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,5.15178419570383))!#16104=CARTESIAN_POINT('',(-18.778409293126,-5.36741747852753,5.188))!#16105=CARTESIAN_POINT('Ctrl Pts',(-18.9109918145985,-5.50000000000001, 5.15178419570383))!#16106=CARTESIAN_POINT('Ctrl Pts',(-18.8333267716535,-5.42233495705505, 5.188))!#16107=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.36741747852753,5.188))!#16108=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.63258252147249,5.188))!#16109=CARTESIAN_POINT('Ctrl Pts',(-18.8333267716535,-5.57766504294497, 5.188))!#16110=CARTESIAN_POINT('Ctrl Pts',(-18.9109918145985,-5.50000000000001, 5.15178419570383))!#16111=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.188))!#16112=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.16989209785192))!#16113=CARTESIAN_POINT('',(-18.5132442501811,-5.36741747852753,5.188))!#16114=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,5.15178419570383))!#16115=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.36741747852753, 5.188))!#16116=CARTESIAN_POINT('Ctrl Pts',(-18.4583267716535,-5.42233495705505, 5.188))!#16117=CARTESIAN_POINT('Ctrl Pts',(-18.3806617287086,-5.50000000000001, 5.15178419570383))!#16118=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.188))!#16119=CARTESIAN_POINT('Ctrl Pts',(-18.3806617287086,-5.50000000000001, 5.15178419570383))!#16120=CARTESIAN_POINT('Ctrl Pts',(-18.4583267716535,-5.57766504294497, 5.188))!#16121=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.63258252147249, 5.188))!#16122=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.16989209785192))!#16123=CARTESIAN_POINT('',(-18.6458267716535,-5.23483495705505,5.15178419570383))!#16124=CARTESIAN_POINT('Ctrl Pts',(-18.778409293126,-5.36741747852753,5.188))!#16125=CARTESIAN_POINT('Ctrl Pts',(-18.7234918145985,-5.31250000000001, 5.188))!#16126=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716535,-5.23483495705505, 5.15178419570383))!#16127=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.50000000000001,5.188))!#16128=CARTESIAN_POINT('Ctrl Pts',(-18.6458267716535,-5.23483495705505, 5.15178419570383))!#16129=CARTESIAN_POINT('Ctrl Pts',(-18.5681617287086,-5.31250000000001, 5.188))!#16130=CARTESIAN_POINT('Ctrl Pts',(-18.5132442501811,-5.36741747852753, 5.188))!#16131=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.23483495705505,5.))!#16132=CARTESIAN_POINT('',(-18.3806617287086,-5.50000000000001,5.))!#16133=CARTESIAN_POINT('',(-18.6458267716535,-5.23483495705505,5.))!#16134=CARTESIAN_POINT('Origin',(-18.3806617287086,-5.50000000000001,5.))!#16135=CARTESIAN_POINT('',(-18.6458267716535,-5.76516504294497,5.))!#16136=CARTESIAN_POINT('Origin',(-18.9109918145985,-5.50000000000001,5.))!#16137=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,5.))!#16138=CARTESIAN_POINT('',(-19.0947005538623,-5.68370873926377,5.))!#16139=CARTESIAN_POINT('',(-18.9109918145985,-5.50000000000001,5.))!#16140=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.76516504294497,5.))!#16141=CARTESIAN_POINT('',(-18.9621180323898,-5.44887378220873,5.))!#16142=CARTESIAN_POINT('Origin',(-18.5520767716535,-5.59375000000001,5.188))!#16143=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.50000000000001,5.))!#16144=CARTESIAN_POINT('',(-19.6458267716536,-5.25000000000001,5.))!#16145=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,5.00000000000001))!#16146=CARTESIAN_POINT('',(-19.6458267716536,-5.75000000000001,5.))!#16147=CARTESIAN_POINT('',(-19.6458267716536,-5.50000000000001,5.))!#16148=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,5.00000000000001))!#16149=CARTESIAN_POINT('Origin',(-4.76582677165356,-6.76500000000001,4.25000000000001))!#16150=CARTESIAN_POINT('',(-4.26582677165356,-8.25000000000001,4.25000000000001))!#16151=CARTESIAN_POINT('',(-5.26582677165356,-8.25000000000001,4.25000000000001))!#16152=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,4.25000000000001))!#16153=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,4.25000000000001))!#16154=CARTESIAN_POINT('',(-5.26582677165356,-5.75000000000001,4.25000000000001))!#16155=CARTESIAN_POINT('',(-5.26582677165356,-6.76500000000001,4.25000000000001))!#16156=CARTESIAN_POINT('Origin',(-4.76582677165356,-5.75000000000001,4.25000000000001))!#16157=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,4.25000000000001))!#16158=CARTESIAN_POINT('',(-4.76582677165356,-8.75000000000001,4.25000000000001))!#16159=CARTESIAN_POINT('Origin',(-4.76582677165356,-8.25000000000001,4.25000000000001))!#16160=CARTESIAN_POINT('Origin',(-18.6458267716535,-6.76500000000001,4.25))!#16161=CARTESIAN_POINT('',(-18.1458267716535,-8.25000000000001,4.25))!#16162=CARTESIAN_POINT('',(-19.1458267716535,-8.25000000000001,4.25))!#16163=CARTESIAN_POINT('Origin',(-18.6458267716535,-8.25000000000001,4.25))!#16164=CARTESIAN_POINT('Origin',(-18.6458267716535,-8.25000000000001,4.25))!#16165=CARTESIAN_POINT('',(-19.1458267716535,-5.75000000000001,4.25))!#16166=CARTESIAN_POINT('',(-19.1458267716535,-6.76500000000001,4.25))!#16167=CARTESIAN_POINT('Origin',(-18.6458267716535,-5.75000000000001,4.25))!#16168=CARTESIAN_POINT('Origin',(-18.6458267716535,-8.25000000000001,4.25))!#16169=CARTESIAN_POINT('',(-18.6458267716535,-8.75000000000001,4.25))!#16170=CARTESIAN_POINT('Origin',(-18.6458267716535,-8.25000000000001,4.25))!#16171=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.25000000000001,4.25000000000001))!#16172=CARTESIAN_POINT('',(-15.8508267716535,-5.25,4.25))!#16173=CARTESIAN_POINT('',(-15.4408267716535,-5.25,4.25))!#16174=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.25,4.25))!#16175=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.25,4.25))!#16176=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.25000000000001,4.25000000000001))!#16177=CARTESIAN_POINT('',(-17.6458267716535,-5.25000000000001,3.5))!#16178=CARTESIAN_POINT('',(-17.6458267716535,-5.25,4.25))!#16179=CARTESIAN_POINT('',(-17.6458267716535,-5.25,4.75))!#16180=CARTESIAN_POINT('',(-19.6458267716536,-5.25000000000001,3.5))!#16181=CARTESIAN_POINT('',(-19.6458267716536,-5.25000000000001,3.5))!#16182=CARTESIAN_POINT('',(-19.6458267716536,-5.25000000000001,5.))!#16183=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,3.50000000000001))!#16184=CARTESIAN_POINT('',(-3.76582677165355,-5.25000000000001,3.50000000000001))!#16185=CARTESIAN_POINT('',(-9.64582677165354,-5.25000000000001,3.50000000000001))!#16186=CARTESIAN_POINT('',(-19.6458267716536,-5.25000000000001,3.5))!#16187=CARTESIAN_POINT('',(-9.64582677165355,-5.25,4.25))!#16188=CARTESIAN_POINT('',(-9.64582677165355,-5.25,4.75))!#16189=CARTESIAN_POINT('',(-10.1458267716535,-5.25,4.75))!#16190=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.25,4.25))!#16191=CARTESIAN_POINT('',(-17.1458267716535,-5.25,4.75))!#16192=CARTESIAN_POINT('',(-9.64582677165355,-5.25,4.75))!#16193=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.25,4.25))!#16194=CARTESIAN_POINT('Origin',(-11.7058267716536,-5.75000000000001,4.25000000000001))!#16195=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,3.50000000000001))!#16196=CARTESIAN_POINT('',(-3.76582677165355,-5.75000000000001,3.50000000000001))!#16197=CARTESIAN_POINT('',(-19.6458267716536,-5.75000000000001,3.5))!#16198=CARTESIAN_POINT('',(-19.6458267716536,-5.75000000000001,5.))!#16199=CARTESIAN_POINT('',(-19.6458267716536,-5.75000000000001,3.5))!#16200=CARTESIAN_POINT('Origin',(-19.6458267716536,-5.50000000000001,3.5))!#16201=CARTESIAN_POINT('',(-19.6458267716536,-5.50000000000001,3.5))!#16202=CARTESIAN_POINT('Origin',(-3.76582677165355,-5.50000000000001,3.50000000000001))!#16203=CARTESIAN_POINT('',(-6.70582677165355,-5.25,3.50000000000001))!#16204=CARTESIAN_POINT('',(-3.76582677165355,-5.50000000000001,3.50000000000001))!#16205=CARTESIAN_POINT('Origin',(-3.76582677165355,-5.50000000000001,5.00000000000001))!#16206=CARTESIAN_POINT('Origin',(1.49606299212599,8.85406692913386,-2.59842519685039))!#16207=CARTESIAN_POINT('',(0.774747203640996,8.85406692913386,-2.00350626684205))!#16208=CARTESIAN_POINT('',(0.901144062117637,8.85406692913386,-3.31974098533538))!#16209=CARTESIAN_POINT('Origin',(1.49606299212599,8.85406692913386,-2.59842519685039))!#16210=CARTESIAN_POINT('Origin',(1.49606299212599,8.85406692913386,-2.59842519685039))!#16211=CARTESIAN_POINT('Origin',(1.49606299212599,8.72974518017654,-2.59842519685039))!#16212=CARTESIAN_POINT('',(0.839355786150737,8.7720313481119,-3.39465683815178))!#16213=CARTESIAN_POINT('',(0.699831350824601,8.7720313481119,-1.94171799087515))!#16214=CARTESIAN_POINT('Origin',(1.49606299212599,8.7720313481119,-2.59842519685039))!#16215=CARTESIAN_POINT('',(0.722673603937534,8.72974518017654,-1.96055757403396))!#16216=CARTESIAN_POINT('Origin',(0.687957870801893,8.72974518017654,-1.9319251121619))!#16217=CARTESIAN_POINT('',(0.858195369309548,8.72974518017654,-3.37181458503885))!#16218=CARTESIAN_POINT('Origin',(1.49606299212599,8.72974518017654,-2.59842519685039))!#16219=CARTESIAN_POINT('Origin',(1.49606299212599,8.72974518017654,-2.59842519685039))!#16220=CARTESIAN_POINT('Origin',(1.49606299212599,8.7720313481119,-2.59842519685039))!#16221=CARTESIAN_POINT('Origin',(1.49606299212599,8.80766072426492,-2.59842519685039))!#16222=CARTESIAN_POINT('',(0.695944752034544,8.85406692913386,-3.56853707280748))!#16223=CARTESIAN_POINT('',(0.777070060672046,8.80766072426492,-3.47017582892316))!#16224=CARTESIAN_POINT('',(2.29618123221743,8.85406692913386,-1.62831332089331))!#16225=CARTESIAN_POINT('Origin',(1.49606299212599,8.85406692913386,-2.59842519685039))!#16226=CARTESIAN_POINT('Origin',(1.49606299212599,8.85406692913386,-2.59842519685039))!#16227=CARTESIAN_POINT('Origin',(1.49606299212599,8.61291072426492,-2.59842519685039))!#16228=CARTESIAN_POINT('',(2.13393061494242,8.46456692913386,-1.82503580866194))!#16229=CARTESIAN_POINT('',(0.858195369309548,8.46456692913386,-3.37181458503885))!#16230=CARTESIAN_POINT('Origin',(1.49606299212599,8.46456692913386,-2.59842519685039))!#16231=CARTESIAN_POINT('Origin',(1.49606299212599,8.46456692913386,-2.59842519685039))!#16232=CARTESIAN_POINT('',(0.858195369309548,8.61291072426492,-3.37181458503885))!#16233=CARTESIAN_POINT('Origin',(1.49606299212599,8.93356692913386,-2.59842519685039))!#16234=CARTESIAN_POINT('',(0.740675531007868,8.96456692913386,-3.51430276376601))!#16235=CARTESIAN_POINT('',(0.580185425210366,8.96456692913386,-1.84303773573228))!#16236=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16237=CARTESIAN_POINT('',(0.602199559124101,8.94567959411702,-1.86119431181462))!#16238=CARTESIAN_POINT('Origin',(0.580185425210366,8.93356692913386,-1.84303773573228))!#16239=CARTESIAN_POINT('',(0.758832107090206,8.94567959411703,-3.49228862985228))!#16240=CARTESIAN_POINT('Origin',(1.49606299212599,8.94567959411702,-2.59842519685039))!#16241=CARTESIAN_POINT('Origin',(1.49606299212599,8.94567959411702,-2.59842519685039))!#16242=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16243=CARTESIAN_POINT('Origin',(1.49606299212599,8.94758474761436,-2.59842519685039))!#16244=CARTESIAN_POINT('',(2.22922182016447,8.93060256609486,-1.7094989726943))!#16245=CARTESIAN_POINT('',(0.607136767969887,8.93060256609486,-1.86526636881191))!#16246=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16247=CARTESIAN_POINT('',(0.762904164087506,8.93060256609486,-3.48735142100649))!#16248=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16249=CARTESIAN_POINT('',(0.758317556502494,8.94758474761436,-3.49291250218878))!#16250=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16251=CARTESIAN_POINT('Origin',(2.29618123221743,8.96456692913386,-1.62831332089331))!#16252=CARTESIAN_POINT('',(2.29618123221743,8.96456692913386,-1.62831332089331))!#16253=CARTESIAN_POINT('',(0.695944752034544,8.96456692913386,-3.56853707280748))!#16254=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16255=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16256=CARTESIAN_POINT('Origin',(1.49606299212599,8.93356692913386,-2.59842519685039))!#16257=CARTESIAN_POINT('',(0.822031645334475,8.94567959411702,-3.41566167706745))!#16258=CARTESIAN_POINT('',(0.678826511908932,8.94567959411702,-1.92439385005888))!#16259=CARTESIAN_POINT('Origin',(1.49606299212599,8.94567959411702,-2.59842519685039))!#16260=CARTESIAN_POINT('',(0.700840645822666,8.96456692913386,-1.94255042614122))!#16261=CARTESIAN_POINT('Origin',(0.700840645822666,8.93356692913386,-1.94255042614122))!#16262=CARTESIAN_POINT('',(0.840188221416813,8.96456692913386,-3.39364754315371))!#16263=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16264=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16265=CARTESIAN_POINT('Origin',(1.49606299212599,8.94567959411702,-2.59842519685039))!#16266=CARTESIAN_POINT('Origin',(2.16499318074477,8.96456692913386,-1.78737367015212))!#16267=CARTESIAN_POINT('',(2.09098192213433,8.96456692913386,-1.8771094083654))!#16268=CARTESIAN_POINT('',(0.901144062117637,8.96456692913386,-3.31974098533538))!#16269=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16270=CARTESIAN_POINT('Origin',(1.49606299212599,8.96456692913386,-2.59842519685039))!#16271=CARTESIAN_POINT('Origin',(1.49606299212599,8.94758474761436,-2.59842519685039))!#16272=CARTESIAN_POINT('',(2.1741663959148,8.93060256609486,-1.77625150778755))!#16273=CARTESIAN_POINT('',(0.673889303063146,8.93060256609486,-1.92032179306158))!#16274=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16275=CARTESIAN_POINT('',(0.817959588337175,8.93060256609486,-3.42059888591323))!#16276=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16277=CARTESIAN_POINT('',(0.822546195922187,8.94758474761436,-3.41503780473095))!#16278=CARTESIAN_POINT('Origin',(1.49606299212599,8.93060256609486,-2.59842519685039))!#16279=CARTESIAN_POINT('Origin',(1.49606299212599,8.94896692913386,-2.59842519685039))!#16280=CARTESIAN_POINT('Origin',(0.640513035516516,8.94896692913386,-1.89279408093675))!#16281=CARTESIAN_POINT('Origin',(1.49606299212599,8.90931692913386,-2.59842519685039))!#16282=CARTESIAN_POINT('',(0.695944752034544,8.90931692913386,-3.56853707280748))!#16283=CARTESIAN_POINT('Origin',(1.49606299212599,8.71456692913386,-2.59842519685039))!#16284=CARTESIAN_POINT('',(0.901144062117637,8.71456692913386,-3.31974098533538))!#16285=CARTESIAN_POINT('Origin',(8.97637795275589,-0.112935576406314,1.16765186496331))!#16286=CARTESIAN_POINT('',(8.97637795275589,-0.101427820193437,1.23416368005615))!#16287=CARTESIAN_POINT('',(8.97637795275589,-0.528493439649081,-1.23416368005615))!#16288=CARTESIAN_POINT('Origin',(8.97637795275589,-0.314960629921259,1.97162146137847E-15))!#16289=CARTESIAN_POINT('Origin',(8.97637795275589,-0.314960629921259,1.97162146137847E-15))!#16290=CARTESIAN_POINT('',(8.97637795275591,0.935039370078741,1.99315629617745E-15))!#16291=CARTESIAN_POINT('',(8.97637795275591,-1.56496062992126,1.84007544628403E-15))!#16292=CARTESIAN_POINT('Origin',(8.97637795275591,-0.314960629921259,1.99315629617745E-15))!#16293=CARTESIAN_POINT('Origin',(8.97637795275591,-0.314960629921259,1.99315629617745E-15))!#16294=CARTESIAN_POINT('Origin',(9.36587795275589,-0.314960629921259,2.13519592094261E-15))!#16295=CARTESIAN_POINT('',(9.36587795275589,0.852691235042045,-0.202025053514943))!#16296=CARTESIAN_POINT('',(9.36587795275589,-0.516985683436205,-1.1676518649633))!#16297=CARTESIAN_POINT('Origin',(9.36587795275589,-0.314960629921259,2.13519592094261E-15))!#16298=CARTESIAN_POINT('Origin',(9.36587795275589,-0.314960629921259,2.13519592094261E-15))!#16299=CARTESIAN_POINT('Origin',(9.23518672469892,-0.314960629921259,2.08031081953261E-15))!#16300=CARTESIAN_POINT('',(9.27747289263428,-0.533541354171476,-1.26333930309744))!#16301=CARTESIAN_POINT('',(9.27747289263428,0.948378673176186,-0.218580724250214))!#16302=CARTESIAN_POINT('Origin',(9.27747289263428,-0.314960629921259,2.11145353212946E-15))!#16303=CARTESIAN_POINT('',(9.23518672469892,0.919203050134892,-0.21353280972782))!#16304=CARTESIAN_POINT('Origin',(9.23518672469892,0.96354426019679,-0.221204647203071))!#16305=CARTESIAN_POINT('',(9.23518672469892,-0.528493439649081,-1.23416368005615))!#16306=CARTESIAN_POINT('Origin',(9.23518672469892,-0.314960629921259,2.08031081953261E-15))!#16307=CARTESIAN_POINT('Origin',(9.23518672469892,-0.314960629921259,2.08031081953261E-15))!#16308=CARTESIAN_POINT('Origin',(9.27747289263428,-0.314960629921259,2.11145353212946E-15))!#16309=CARTESIAN_POINT('Origin',(9.31628700833712,-0.314960629921259,2.11436970288722E-15))!#16310=CARTESIAN_POINT('',(9.36587795275589,-0.574950677693657,-1.50267434098653))!#16311=CARTESIAN_POINT('',(9.31628700833712,-0.551722058671369,-1.36841901052134))!#16312=CARTESIAN_POINT('',(9.36587795275589,-0.0549705821488614,1.50267434098653))!#16313=CARTESIAN_POINT('Origin',(9.36587795275589,-0.314960629921259,2.13519592094261E-15))!#16314=CARTESIAN_POINT('Origin',(9.36587795275589,-0.314960629921259,2.13519592094261E-15))!#16315=CARTESIAN_POINT('Origin',(9.12153700833712,-0.314960629921259,2.03258247310515E-15))!#16316=CARTESIAN_POINT('',(9.12153700833712,-0.528493439649081,-1.23416368005615))!#16317=CARTESIAN_POINT('Origin',(9.44537795275589,-0.314960629921259,2.16858274901796E-15))!#16318=CARTESIAN_POINT('',(9.47637795275589,-0.565352219731742,-1.44719776941778))!#16319=CARTESIAN_POINT('',(9.47637795275589,1.13223713949652,-0.250391589810481))!#16320=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16321=CARTESIAN_POINT('',(9.45749061773906,1.10411924458168,-0.245526681531439))!#16322=CARTESIAN_POINT('Origin',(9.44537795275589,1.13223713949652,-0.250391589810481))!#16323=CARTESIAN_POINT('',(9.45749061773906,-0.560487311452701,-1.41907987450294))!#16324=CARTESIAN_POINT('Origin',(9.45749061773906,-0.314960629921259,2.1887037582668E-15))!#16325=CARTESIAN_POINT('Origin',(9.45749061773906,-0.314960629921259,2.1887037582668E-15))!#16326=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16327=CARTESIAN_POINT('Origin',(9.4593957712364,-0.314960629921259,2.17446967349092E-15))!#16328=CARTESIAN_POINT('',(9.4424135897169,-0.0705250232548731,1.41277374771901))!#16329=CARTESIAN_POINT('',(9.4424135897169,1.09781311779775,-0.244435606666384))!#16330=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16331=CARTESIAN_POINT('',(9.4424135897169,-0.559396236587646,-1.41277374771901))!#16332=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16333=CARTESIAN_POINT('',(9.4593957712364,-0.560625181137094,-1.41987672514747))!#16334=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16335=CARTESIAN_POINT('Origin',(9.47637795275589,-0.0549705821488614,1.50267434098653))!#16336=CARTESIAN_POINT('',(9.47637795275589,-0.0549705821488614,1.50267434098653))!#16337=CARTESIAN_POINT('',(9.47637795275589,-0.574950677693657,-1.50267434098653))!#16338=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16339=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16340=CARTESIAN_POINT('Origin',(9.44537795275589,-0.314960629921259,2.16858274901796E-15))!#16341=CARTESIAN_POINT('',(9.45749061773906,-0.543553504360336,-1.32120690732233))!#16342=CARTESIAN_POINT('',(9.45749061773906,1.00624627740107,-0.228592874439074))!#16343=CARTESIAN_POINT('Origin',(9.45749061773906,-0.314960629921259,2.18766686163415E-15))!#16344=CARTESIAN_POINT('',(9.47637795275589,0.978128382486233,-0.223727966160032))!#16345=CARTESIAN_POINT('Origin',(9.44537795275589,0.978128382486233,-0.223727966160032))!#16346=CARTESIAN_POINT('',(9.47637795275589,-0.538688596081294,-1.29308901240749))!#16347=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16348=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16349=CARTESIAN_POINT('Origin',(9.45749061773906,-0.314960629921259,2.18766686163415E-15))!#16350=CARTESIAN_POINT('Origin',(9.47637795275589,-0.0877345697160255,1.31330707924935))!#16351=CARTESIAN_POINT('',(9.47637795275589,-0.112935576406314,1.16765186496331))!#16352=CARTESIAN_POINT('',(9.47637795275589,-0.516985683436205,-1.1676518649633))!#16353=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16354=CARTESIAN_POINT('Origin',(9.47637795275589,-0.314960629921259,2.18160151216684E-15))!#16355=CARTESIAN_POINT('Origin',(9.4593957712364,-0.314960629921259,2.17446967349092E-15))!#16356=CARTESIAN_POINT('',(9.4424135897169,-0.0852766806171284,1.32751303410627))!#16357=CARTESIAN_POINT('',(9.4424135897169,1.01255240418501,-0.229683949304129))!#16358=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16359=CARTESIAN_POINT('',(9.4424135897169,-0.54464457922539,-1.32751303410626))!#16360=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16361=CARTESIAN_POINT('',(9.4593957712364,-0.543415634675942,-1.3204100566778))!#16362=CARTESIAN_POINT('Origin',(9.4424135897169,-0.314960629921259,2.167337834815E-15))!#16363=CARTESIAN_POINT('Origin',(9.46077795275589,-0.314960629921259,2.17505013458225E-15))!#16364=CARTESIAN_POINT('Origin',(9.46077795275589,1.05518276099138,-0.237059777985257))!#16365=CARTESIAN_POINT('Origin',(9.42112795275589,-0.314960629921259,2.15839871655473E-15))!#16366=CARTESIAN_POINT('',(9.42112795275589,-0.574950677693657,-1.50267434098653))!#16367=CARTESIAN_POINT('Origin',(9.22637795275589,-0.314960629921259,2.07661148677266E-15))!#16368=CARTESIAN_POINT('',(9.22637795275589,-0.516985683436205,-1.1676518649633))!#16369=CARTESIAN_POINT('Origin',(-5.53108413385827,2.56256611055991,2.56256611055991))!#16370=CARTESIAN_POINT('',(-5.39582677165354,2.56256611055991,2.31256611055991))!#16371=CARTESIAN_POINT('Origin',(-5.39582677165354,2.56256611055991,2.56256611055991))!#16372=CARTESIAN_POINT('',(-5.08492913385827,2.56256611055991,2.31256611055991))!#16373=CARTESIAN_POINT('',(-5.53108413385827,2.56256611055991,2.31256611055991))!#16374=CARTESIAN_POINT('',(-5.08492913385827,2.56256611055991,2.81256611055991))!#16375=CARTESIAN_POINT('Origin',(-5.08492913385827,2.56256611055991,2.56256611055991))!#16376=CARTESIAN_POINT('',(-5.08492913385827,2.81256611055991,2.56256611055991))!#16377=CARTESIAN_POINT('Origin',(-5.08492913385827,2.56256611055991,2.56256611055991))!#16378=CARTESIAN_POINT('Origin',(-5.08492913385827,2.56256611055991,2.56256611055991))!#16379=CARTESIAN_POINT('Origin',(-5.08492913385827,2.56256611055991,2.56256611055991))!#16380=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,2.83756611055991))!#16381=CARTESIAN_POINT('',(-5.05992913385827,2.83756611055991,2.56256611055991))!#16382=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,2.56256611055991))!#16383=CARTESIAN_POINT('Origin',(-5.08492913385827,2.83756611055991,2.56256611055991))!#16384=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,2.56256611055991))!#16385=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,2.85631611055991))!#16386=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,2.90006611055991))!#16387=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,2.22506611055991))!#16388=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,2.56256611055991))!#16389=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,2.56256611055991))!#16390=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16391=CARTESIAN_POINT('',(-4.7474251968504,2.75006611055991,2.88732563697907))!#16392=CARTESIAN_POINT('',(-4.78091884590429,2.93756611055991,2.77907246150601))!#16393=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.75006611055991,2.88732563697907))!#16394=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.83708516339824,2.83708516339824))!#16395=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.93756611055991,2.77907246150602))!#16396=CARTESIAN_POINT('',(-4.7474251968504,2.93756611055991,2.56256611055991))!#16397=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16398=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.93756611055991,2.77907246150601))!#16399=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.93756611055991,2.66304705772158))!#16400=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.93756611055991,2.56256611055991))!#16401=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16402=CARTESIAN_POINT('',(-4.78091884590429,2.93756611055991,2.3460597596138))!#16403=CARTESIAN_POINT('',(-4.7474251968504,2.75006611055991,2.23780658414074))!#16404=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.93756611055991,2.3460597596138))!#16405=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.83708516339824,2.28804705772158))!#16406=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.75006611055991,2.23780658414074))!#16407=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.93756611055991,2.56256611055991))!#16408=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.93756611055991,2.46208516339824))!#16409=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.93756611055991,2.3460597596138))!#16410=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16411=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16412=CARTESIAN_POINT('',(-4.7474251968504,2.37506611055991,2.88732563697907))!#16413=CARTESIAN_POINT('',(-4.78091884590429,2.56256611055991,2.99557881245212))!#16414=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.37506611055991,2.88732563697907))!#16415=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.46208516339824,2.93756611055991))!#16416=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.56256611055991,2.99557881245212))!#16417=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16418=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.56256611055991,2.99557881245212))!#16419=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.66304705772158,2.93756611055991))!#16420=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.75006611055991,2.88732563697907))!#16421=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16422=CARTESIAN_POINT('',(-4.78091884590429,2.56256611055991,2.12955340866769))!#16423=CARTESIAN_POINT('',(-4.7474251968504,2.37506611055991,2.23780658414074))!#16424=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.56256611055991,2.12955340866769))!#16425=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.46208516339824,2.18756611055991))!#16426=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.37506611055991,2.23780658414074))!#16427=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.75006611055991,2.23780658414074))!#16428=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.66304705772158,2.18756611055991))!#16429=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.56256611055991,2.12955340866769))!#16430=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16431=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16432=CARTESIAN_POINT('',(-4.7474251968504,2.18756611055991,2.56256611055991))!#16433=CARTESIAN_POINT('',(-4.78091884590429,2.18756611055991,2.77907246150601))!#16434=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.18756611055991,2.56256611055991))!#16435=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.18756611055991,2.66304705772158))!#16436=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.18756611055991,2.77907246150601))!#16437=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16438=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.18756611055991,2.77907246150602))!#16439=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.28804705772158,2.83708516339824))!#16440=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.37506611055991,2.88732563697907))!#16441=CARTESIAN_POINT('Origin',(-4.76417202137734,2.56256611055991,2.56256611055991))!#16442=CARTESIAN_POINT('',(-4.78091884590429,2.18756611055991,2.3460597596138))!#16443=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.18756611055991,2.3460597596138))!#16444=CARTESIAN_POINT('Ctrl Pts',(-4.74742519685039,2.18756611055991,2.46208516339824))!#16445=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.18756611055991,2.56256611055991))!#16446=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.37506611055991,2.23780658414074))!#16447=CARTESIAN_POINT('Ctrl Pts',(-4.7474251968504,2.28804705772158,2.28804705772158))!#16448=CARTESIAN_POINT('Ctrl Pts',(-4.78091884590429,2.18756611055991,2.3460597596138))!#16449=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16450=CARTESIAN_POINT('Origin',(-5.0349251968504,2.18756611055991,2.3460597596138))!#16451=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.77907246150602))!#16452=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.77907246150602))!#16453=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.3460597596138))!#16454=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.3460597596138))!#16455=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.3460597596138))!#16456=CARTESIAN_POINT('Origin',(-5.0349251968504,2.18756611055991,2.77907246150602))!#16457=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.99557881245213))!#16458=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.99557881245213))!#16459=CARTESIAN_POINT('',(-5.0349251968504,2.18756611055991,2.77907246150602))!#16460=CARTESIAN_POINT('Origin',(-5.0349251968504,2.56256611055991,2.99557881245213))!#16461=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.77907246150602))!#16462=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.77907246150602))!#16463=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.99557881245213))!#16464=CARTESIAN_POINT('Origin',(-5.0349251968504,2.56256611055991,2.56256611055991))!#16465=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.3460597596138))!#16466=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.77907246150602))!#16467=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.12955340866769))!#16468=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.3460597596138))!#16469=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.12955340866769))!#16470=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.90006611055991))!#16471=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.22506611055991))!#16472=CARTESIAN_POINT('Origin',(-5.0349251968504,2.56256611055991,2.56256611055991))!#16473=CARTESIAN_POINT('Origin',(-5.0349251968504,2.56256611055991,2.56256611055991))!#16474=CARTESIAN_POINT('Origin',(-5.0349251968504,2.93756611055991,2.77907246150602))!#16475=CARTESIAN_POINT('',(-5.0349251968504,2.93756611055991,2.3460597596138))!#16476=CARTESIAN_POINT('Origin',(-5.0349251968504,2.93756611055991,2.3460597596138))!#16477=CARTESIAN_POINT('',(-5.0349251968504,2.56256611055991,2.12955340866769))!#16478=CARTESIAN_POINT('Origin',(-5.0349251968504,2.56256611055991,2.12955340866769))!#16479=CARTESIAN_POINT('Origin',(-4.7474251968504,2.56256611055991,2.56256611055991))!#16480=CARTESIAN_POINT('Origin',(-5.04742716535433,2.56256611055991,2.56256611055991))!#16481=CARTESIAN_POINT('',(-5.04742716535433,2.56256611055991,2.22506611055991))!#16482=CARTESIAN_POINT('Origin',(-5.55608807086614,-2.56256611055991,2.56256611055991))!#16483=CARTESIAN_POINT('',(-5.39582677165355,-2.56256611055991,2.31256611055991))!#16484=CARTESIAN_POINT('Origin',(-5.39582677165355,-2.56256611055991,2.56256611055991))!#16485=CARTESIAN_POINT('',(-5.10993307086614,-2.56256611055991,2.31256611055991))!#16486=CARTESIAN_POINT('',(-5.55608807086614,-2.56256611055991,2.31256611055991))!#16487=CARTESIAN_POINT('',(-5.10993307086614,-2.56256611055991,2.81256611055991))!#16488=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,2.56256611055991))!#16489=CARTESIAN_POINT('',(-5.10993307086614,-2.31256611055991,2.56256611055991))!#16490=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,2.56256611055991))!#16491=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,2.56256611055991))!#16492=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,2.56256611055991))!#16493=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,2.83756611055991))!#16494=CARTESIAN_POINT('',(-5.08493307086614,-2.28756611055991,2.56256611055991))!#16495=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,2.56256611055991))!#16496=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.28756611055991,2.56256611055991))!#16497=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,2.56256611055991))!#16498=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,2.85631611055991))!#16499=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,2.90006611055991))!#16500=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,2.22506611055991))!#16501=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,2.56256611055991))!#16502=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,2.56256611055991))!#16503=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16504=CARTESIAN_POINT('',(-4.77242913385827,-2.37506611055991,2.88732563697908))!#16505=CARTESIAN_POINT('',(-4.80592278291216,-2.18756611055991,2.77907246150602))!#16506=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.37506611055991, 2.88732563697908))!#16507=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.28804705772158, 2.83708516339824))!#16508=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.18756611055991, 2.77907246150602))!#16509=CARTESIAN_POINT('',(-4.77242913385827,-2.18756611055991,2.56256611055991))!#16510=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16511=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.18756611055991, 2.77907246150602))!#16512=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.18756611055991, 2.66304705772158))!#16513=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.18756611055991, 2.56256611055991))!#16514=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16515=CARTESIAN_POINT('',(-4.80592278291216,-2.18756611055991,2.34605975961381))!#16516=CARTESIAN_POINT('',(-4.77242913385827,-2.37506611055991,2.23780658414075))!#16517=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.18756611055991, 2.3460597596138))!#16518=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.28804705772158, 2.28804705772159))!#16519=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.37506611055991, 2.23780658414075))!#16520=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.18756611055991, 2.56256611055991))!#16521=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.18756611055991, 2.46208516339824))!#16522=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.18756611055991, 2.34605975961381))!#16523=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16524=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16525=CARTESIAN_POINT('',(-4.77242913385827,-2.75006611055991,2.88732563697908))!#16526=CARTESIAN_POINT('',(-4.80592278291216,-2.56256611055991,2.99557881245213))!#16527=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.75006611055991, 2.88732563697908))!#16528=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.66304705772158, 2.93756611055991))!#16529=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.56256611055991, 2.99557881245213))!#16530=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16531=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.56256611055991, 2.99557881245213))!#16532=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.46208516339824, 2.93756611055991))!#16533=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.37506611055991, 2.88732563697908))!#16534=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16535=CARTESIAN_POINT('',(-4.80592278291216,-2.56256611055991,2.1295534086677))!#16536=CARTESIAN_POINT('',(-4.77242913385827,-2.75006611055991,2.23780658414075))!#16537=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.56256611055991, 2.1295534086677))!#16538=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.66304705772158, 2.18756611055991))!#16539=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.75006611055991, 2.23780658414075))!#16540=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.37506611055991, 2.23780658414075))!#16541=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.46208516339824, 2.18756611055991))!#16542=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.56256611055991, 2.1295534086677))!#16543=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16544=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16545=CARTESIAN_POINT('',(-4.77242913385827,-2.93756611055991,2.56256611055991))!#16546=CARTESIAN_POINT('',(-4.80592278291216,-2.93756611055991,2.77907246150602))!#16547=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.93756611055991, 2.56256611055991))!#16548=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.93756611055991, 2.66304705772158))!#16549=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.93756611055991, 2.77907246150602))!#16550=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16551=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.93756611055991, 2.77907246150602))!#16552=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.83708516339824, 2.83708516339824))!#16553=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.75006611055991, 2.88732563697908))!#16554=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,2.56256611055991))!#16555=CARTESIAN_POINT('',(-4.80592278291216,-2.93756611055991,2.3460597596138))!#16556=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.93756611055991, 2.34605975961381))!#16557=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.93756611055991, 2.46208516339824))!#16558=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.93756611055991, 2.56256611055991))!#16559=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.75006611055991, 2.23780658414075))!#16560=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,-2.83708516339824, 2.28804705772159))!#16561=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,-2.93756611055991, 2.3460597596138))!#16562=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16563=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.93756611055991,2.3460597596138))!#16564=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.77907246150602))!#16565=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.77907246150602))!#16566=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.3460597596138))!#16567=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.3460597596138))!#16568=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.3460597596138))!#16569=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.93756611055991,2.77907246150602))!#16570=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.99557881245213))!#16571=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.99557881245213))!#16572=CARTESIAN_POINT('',(-5.05992913385827,-2.93756611055991,2.77907246150602))!#16573=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.56256611055991,2.99557881245213))!#16574=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.77907246150602))!#16575=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.77907246150602))!#16576=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.99557881245213))!#16577=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.56256611055991,2.56256611055991))!#16578=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.3460597596138))!#16579=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.77907246150602))!#16580=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.1295534086677))!#16581=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.3460597596138))!#16582=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.1295534086677))!#16583=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.90006611055991))!#16584=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.22506611055991))!#16585=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.56256611055991,2.56256611055991))!#16586=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.56256611055991,2.56256611055991))!#16587=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.18756611055991,2.77907246150602))!#16588=CARTESIAN_POINT('',(-5.05992913385827,-2.18756611055991,2.3460597596138))!#16589=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.18756611055991,2.3460597596138))!#16590=CARTESIAN_POINT('',(-5.05992913385827,-2.56256611055991,2.1295534086677))!#16591=CARTESIAN_POINT('Origin',(-5.05992913385827,-2.56256611055991,2.1295534086677))!#16592=CARTESIAN_POINT('Origin',(-4.77242913385827,-2.56256611055991,2.56256611055991))!#16593=CARTESIAN_POINT('Origin',(-5.07243110236221,-2.56256611055991,2.56256611055991))!#16594=CARTESIAN_POINT('',(-5.07243110236221,-2.56256611055991,2.22506611055991))!#16595=CARTESIAN_POINT('Origin',(-5.55608807086614,2.56256611055991,-2.56256611055991))!#16596=CARTESIAN_POINT('',(-5.39582677165354,2.56256611055991,-2.81256611055991))!#16597=CARTESIAN_POINT('Origin',(-5.39582677165354,2.56256611055991,-2.56256611055991))!#16598=CARTESIAN_POINT('',(-5.10993307086614,2.56256611055991,-2.81256611055991))!#16599=CARTESIAN_POINT('',(-5.55608807086614,2.56256611055991,-2.81256611055991))!#16600=CARTESIAN_POINT('',(-5.10993307086614,2.56256611055991,-2.31256611055991))!#16601=CARTESIAN_POINT('Origin',(-5.10993307086614,2.56256611055991,-2.56256611055991))!#16602=CARTESIAN_POINT('',(-5.10993307086614,2.81256611055991,-2.56256611055991))!#16603=CARTESIAN_POINT('Origin',(-5.10993307086614,2.56256611055991,-2.56256611055991))!#16604=CARTESIAN_POINT('Origin',(-5.10993307086614,2.56256611055991,-2.56256611055991))!#16605=CARTESIAN_POINT('Origin',(-5.10993307086614,2.56256611055991,-2.56256611055991))!#16606=CARTESIAN_POINT('',(-5.08493307086614,2.56256611055991,-2.28756611055991))!#16607=CARTESIAN_POINT('',(-5.08493307086614,2.83756611055991,-2.56256611055991))!#16608=CARTESIAN_POINT('Origin',(-5.08493307086614,2.56256611055991,-2.56256611055991))!#16609=CARTESIAN_POINT('Origin',(-5.10993307086614,2.83756611055991,-2.56256611055991))!#16610=CARTESIAN_POINT('Origin',(-5.08493307086614,2.56256611055991,-2.56256611055991))!#16611=CARTESIAN_POINT('Origin',(-5.08493307086614,2.56256611055991,-2.26881611055991))!#16612=CARTESIAN_POINT('',(-5.08493307086614,2.56256611055991,-2.22506611055991))!#16613=CARTESIAN_POINT('',(-5.08493307086614,2.56256611055991,-2.90006611055991))!#16614=CARTESIAN_POINT('Origin',(-5.08493307086614,2.56256611055991,-2.56256611055991))!#16615=CARTESIAN_POINT('Origin',(-5.08493307086614,2.56256611055991,-2.56256611055991))!#16616=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16617=CARTESIAN_POINT('',(-4.77242913385827,2.75006611055991,-2.23780658414075))!#16618=CARTESIAN_POINT('',(-4.80592278291216,2.93756611055991,-2.34605975961381))!#16619=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.75006611055991,-2.23780658414075))!#16620=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.83708516339824,-2.28804705772158))!#16621=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.93756611055991,-2.3460597596138))!#16622=CARTESIAN_POINT('',(-4.77242913385827,2.93756611055991,-2.56256611055991))!#16623=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16624=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.93756611055991,-2.34605975961381))!#16625=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.93756611055991,-2.46208516339824))!#16626=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.93756611055991,-2.56256611055991))!#16627=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16628=CARTESIAN_POINT('',(-4.80592278291216,2.93756611055991,-2.77907246150602))!#16629=CARTESIAN_POINT('',(-4.77242913385827,2.75006611055991,-2.88732563697908))!#16630=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.93756611055991,-2.77907246150602))!#16631=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.83708516339824,-2.83708516339824))!#16632=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.75006611055991,-2.88732563697908))!#16633=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.93756611055991,-2.56256611055991))!#16634=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.93756611055991,-2.66304705772158))!#16635=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.93756611055991,-2.77907246150602))!#16636=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16637=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16638=CARTESIAN_POINT('',(-4.77242913385827,2.37506611055991,-2.23780658414075))!#16639=CARTESIAN_POINT('',(-4.80592278291216,2.56256611055991,-2.12955340866769))!#16640=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.37506611055991,-2.23780658414075))!#16641=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.46208516339824,-2.18756611055991))!#16642=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.56256611055991,-2.12955340866769))!#16643=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16644=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.56256611055991,-2.12955340866769))!#16645=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.66304705772158,-2.18756611055991))!#16646=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.75006611055991,-2.23780658414075))!#16647=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16648=CARTESIAN_POINT('',(-4.80592278291216,2.56256611055991,-2.99557881245213))!#16649=CARTESIAN_POINT('',(-4.77242913385827,2.37506611055991,-2.88732563697908))!#16650=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.56256611055991,-2.99557881245213))!#16651=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.46208516339824,-2.93756611055991))!#16652=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.37506611055991,-2.88732563697908))!#16653=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.75006611055991,-2.88732563697908))!#16654=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.66304705772158,-2.93756611055991))!#16655=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.56256611055991,-2.99557881245213))!#16656=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16657=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16658=CARTESIAN_POINT('',(-4.77242913385827,2.18756611055991,-2.56256611055991))!#16659=CARTESIAN_POINT('',(-4.80592278291216,2.18756611055991,-2.3460597596138))!#16660=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.18756611055991,-2.56256611055991))!#16661=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.18756611055991,-2.46208516339824))!#16662=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.18756611055991,-2.3460597596138))!#16663=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16664=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.18756611055991,-2.3460597596138))!#16665=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.28804705772158,-2.28804705772158))!#16666=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.37506611055991,-2.23780658414075))!#16667=CARTESIAN_POINT('Origin',(-4.78917595838521,2.56256611055991,-2.56256611055991))!#16668=CARTESIAN_POINT('',(-4.80592278291216,2.18756611055991,-2.77907246150602))!#16669=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.18756611055991,-2.77907246150602))!#16670=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,2.18756611055991,-2.66304705772158))!#16671=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.18756611055991,-2.56256611055991))!#16672=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.37506611055991,-2.88732563697908))!#16673=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385827,2.28804705772158,-2.83708516339824))!#16674=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291216,2.18756611055991,-2.77907246150602))!#16675=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16676=CARTESIAN_POINT('Origin',(-5.05992913385827,2.18756611055991,-2.77907246150602))!#16677=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.3460597596138))!#16678=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.3460597596138))!#16679=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.77907246150602))!#16680=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.77907246150602))!#16681=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.77907246150602))!#16682=CARTESIAN_POINT('Origin',(-5.05992913385827,2.18756611055991,-2.3460597596138))!#16683=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.12955340866769))!#16684=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.12955340866769))!#16685=CARTESIAN_POINT('',(-5.05992913385827,2.18756611055991,-2.3460597596138))!#16686=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,-2.12955340866769))!#16687=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.3460597596138))!#16688=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.3460597596138))!#16689=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.12955340866769))!#16690=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,-2.56256611055991))!#16691=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.77907246150602))!#16692=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.3460597596138))!#16693=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.99557881245213))!#16694=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.77907246150602))!#16695=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.99557881245213))!#16696=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.22506611055991))!#16697=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.90006611055991))!#16698=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,-2.56256611055991))!#16699=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,-2.56256611055991))!#16700=CARTESIAN_POINT('Origin',(-5.05992913385827,2.93756611055991,-2.3460597596138))!#16701=CARTESIAN_POINT('',(-5.05992913385827,2.93756611055991,-2.77907246150602))!#16702=CARTESIAN_POINT('Origin',(-5.05992913385827,2.93756611055991,-2.77907246150602))!#16703=CARTESIAN_POINT('',(-5.05992913385827,2.56256611055991,-2.99557881245213))!#16704=CARTESIAN_POINT('Origin',(-5.05992913385827,2.56256611055991,-2.99557881245213))!#16705=CARTESIAN_POINT('Origin',(-4.77242913385827,2.56256611055991,-2.56256611055991))!#16706=CARTESIAN_POINT('Origin',(-5.0724311023622,2.56256611055991,-2.56256611055991))!#16707=CARTESIAN_POINT('',(-5.0724311023622,2.56256611055991,-2.90006611055991))!#16708=CARTESIAN_POINT('Origin',(-5.55608807086614,-2.56256611055991,-2.56256611055991))!#16709=CARTESIAN_POINT('',(-5.39582677165354,-2.56256611055991,-2.81256611055991))!#16710=CARTESIAN_POINT('Origin',(-5.39582677165354,-2.56256611055991,-2.56256611055991))!#16711=CARTESIAN_POINT('',(-5.10993307086614,-2.56256611055991,-2.81256611055991))!#16712=CARTESIAN_POINT('',(-5.55608807086614,-2.56256611055991,-2.81256611055991))!#16713=CARTESIAN_POINT('',(-5.10993307086614,-2.56256611055991,-2.31256611055991))!#16714=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,-2.56256611055991))!#16715=CARTESIAN_POINT('',(-5.10993307086614,-2.31256611055991,-2.56256611055991))!#16716=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,-2.56256611055991))!#16717=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,-2.56256611055991))!#16718=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.56256611055991,-2.56256611055991))!#16719=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,-2.28756611055991))!#16720=CARTESIAN_POINT('',(-5.08493307086614,-2.28756611055991,-2.56256611055991))!#16721=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,-2.56256611055991))!#16722=CARTESIAN_POINT('Origin',(-5.10993307086614,-2.28756611055991,-2.56256611055991))!#16723=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,-2.56256611055991))!#16724=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,-2.26881611055991))!#16725=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,-2.22506611055991))!#16726=CARTESIAN_POINT('',(-5.08493307086614,-2.56256611055991,-2.90006611055991))!#16727=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,-2.56256611055991))!#16728=CARTESIAN_POINT('Origin',(-5.08493307086614,-2.56256611055991,-2.56256611055991))!#16729=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16730=CARTESIAN_POINT('',(-4.77242913385826,-2.37506611055991,-2.23780658414074))!#16731=CARTESIAN_POINT('',(-4.80592278291215,-2.18756611055991,-2.3460597596138))!#16732=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.37506611055991, -2.23780658414074))!#16733=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.28804705772158, -2.28804705772158))!#16734=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.18756611055991, -2.3460597596138))!#16735=CARTESIAN_POINT('',(-4.77242913385826,-2.18756611055991,-2.56256611055991))!#16736=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16737=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.18756611055991, -2.3460597596138))!#16738=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.18756611055991, -2.46208516339824))!#16739=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.18756611055991, -2.56256611055991))!#16740=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16741=CARTESIAN_POINT('',(-4.80592278291215,-2.18756611055991,-2.77907246150601))!#16742=CARTESIAN_POINT('',(-4.77242913385826,-2.37506611055991,-2.88732563697907))!#16743=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.18756611055991, -2.77907246150602))!#16744=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.28804705772158, -2.83708516339824))!#16745=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.37506611055991, -2.88732563697907))!#16746=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.18756611055991, -2.56256611055991))!#16747=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.18756611055991, -2.66304705772158))!#16748=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.18756611055991, -2.77907246150602))!#16749=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16750=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16751=CARTESIAN_POINT('',(-4.77242913385826,-2.75006611055991,-2.23780658414074))!#16752=CARTESIAN_POINT('',(-4.80592278291215,-2.56256611055991,-2.12955340866769))!#16753=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.75006611055991, -2.23780658414074))!#16754=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.66304705772158, -2.18756611055991))!#16755=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.56256611055991, -2.12955340866769))!#16756=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16757=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.56256611055991, -2.12955340866769))!#16758=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.46208516339824, -2.18756611055991))!#16759=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.37506611055991, -2.23780658414074))!#16760=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16761=CARTESIAN_POINT('',(-4.80592278291215,-2.56256611055991,-2.99557881245212))!#16762=CARTESIAN_POINT('',(-4.77242913385826,-2.75006611055991,-2.88732563697907))!#16763=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.56256611055991, -2.99557881245212))!#16764=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.66304705772158, -2.9375661105599))!#16765=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.75006611055991, -2.88732563697907))!#16766=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.37506611055991, -2.88732563697907))!#16767=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.46208516339824, -2.93756611055991))!#16768=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.56256611055991, -2.99557881245212))!#16769=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16770=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16771=CARTESIAN_POINT('',(-4.77242913385826,-2.93756611055991,-2.5625661105599))!#16772=CARTESIAN_POINT('',(-4.80592278291215,-2.93756611055991,-2.3460597596138))!#16773=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.93756611055991, -2.5625661105599))!#16774=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.93756611055991, -2.46208516339823))!#16775=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.93756611055991, -2.3460597596138))!#16776=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16777=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.93756611055991, -2.34605975961379))!#16778=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.83708516339824, -2.28804705772158))!#16779=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.75006611055991, -2.23780658414074))!#16780=CARTESIAN_POINT('Origin',(-4.78917595838521,-2.56256611055991,-2.56256611055991))!#16781=CARTESIAN_POINT('',(-4.80592278291215,-2.93756611055991,-2.77907246150601))!#16782=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.93756611055991, -2.77907246150601))!#16783=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.93756611055991, -2.66304705772157))!#16784=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.93756611055991, -2.5625661105599))!#16785=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.75006611055991, -2.88732563697907))!#16786=CARTESIAN_POINT('Ctrl Pts',(-4.77242913385826,-2.83708516339824, -2.83708516339823))!#16787=CARTESIAN_POINT('Ctrl Pts',(-4.80592278291215,-2.93756611055991, -2.77907246150601))!#16788=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16789=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.93756611055991,-2.77907246150601))!#16790=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.34605975961379))!#16791=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.34605975961379))!#16792=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.77907246150601))!#16793=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.77907246150601))!#16794=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.77907246150601))!#16795=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.93756611055991,-2.34605975961379))!#16796=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.12955340866769))!#16797=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.12955340866769))!#16798=CARTESIAN_POINT('',(-5.05992913385826,-2.93756611055991,-2.34605975961379))!#16799=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.56256611055991,-2.12955340866769))!#16800=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.3460597596138))!#16801=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.3460597596138))!#16802=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.12955340866769))!#16803=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.56256611055991,-2.56256611055991))!#16804=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.77907246150602))!#16805=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.3460597596138))!#16806=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.99557881245212))!#16807=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.77907246150602))!#16808=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.99557881245212))!#16809=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.22506611055991))!#16810=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.90006611055991))!#16811=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.56256611055991,-2.56256611055991))!#16812=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.56256611055991,-2.56256611055991))!#16813=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.18756611055991,-2.3460597596138))!#16814=CARTESIAN_POINT('',(-5.05992913385826,-2.18756611055991,-2.77907246150602))!#16815=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.18756611055991,-2.77907246150602))!#16816=CARTESIAN_POINT('',(-5.05992913385826,-2.56256611055991,-2.99557881245212))!#16817=CARTESIAN_POINT('Origin',(-5.05992913385826,-2.56256611055991,-2.99557881245212))!#16818=CARTESIAN_POINT('Origin',(-4.77242913385826,-2.56256611055991,-2.56256611055991))!#16819=CARTESIAN_POINT('Origin',(-5.0724311023622,-2.56256611055991,-2.56256611055991))!#16820=CARTESIAN_POINT('',(-5.0724311023622,-2.56256611055991,-2.90006611055991))!#16821=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16822=CARTESIAN_POINT('',(0.453715551181114,0.125000000000007,-4.15357238921136))!#16823=CARTESIAN_POINT('',(0.431386451811854,0.250000000000006,-4.22574117286006))!#16824=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.125000000000007, -4.15357238921136))!#16825=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.183012701892226, -4.18706603826525))!#16826=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,0.250000000000005, -4.22574117286006))!#16827=CARTESIAN_POINT('',(0.453715551181114,0.250000000000006,-4.37007874015746))!#16828=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16829=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,0.250000000000006, -4.22574117286006))!#16830=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.250000000000006, -4.30309144204969))!#16831=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.250000000000006, -4.37007874015746))!#16832=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16833=CARTESIAN_POINT('',(0.431386451811854,0.250000000000006,-4.51441630745487))!#16834=CARTESIAN_POINT('',(0.453715551181114,0.125000000000006,-4.58658509110357))!#16835=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,0.250000000000005, -4.51441630745487))!#16836=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.183012701892225, -4.55309144204968))!#16837=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.125000000000006, -4.58658509110357))!#16838=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.250000000000006, -4.37007874015746))!#16839=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.250000000000006, -4.43706603826524))!#16840=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,0.250000000000006, -4.51441630745487))!#16841=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16842=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16843=CARTESIAN_POINT('',(0.453715551181114,-0.124999999999993,-4.15357238921135))!#16844=CARTESIAN_POINT('',(0.431386451811854,6.48027099527662E-15,-4.08140360556265))!#16845=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.124999999999993, -4.15357238921135))!#16846=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.0669872981077744, -4.12007874015747))!#16847=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,5.80097827691948E-15, -4.08140360556265))!#16848=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16849=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,7.815432545151E-15, -4.08140360556265))!#16850=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.0669872981077878, -4.12007874015747))!#16851=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.125000000000007, -4.15357238921136))!#16852=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16853=CARTESIAN_POINT('',(0.431386451811854,7.30638828608959E-15,-4.65875387475228))!#16854=CARTESIAN_POINT('',(0.453715551181114,-0.124999999999994,-4.58658509110357))!#16855=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,5.26100258612195E-15, -4.65875387475228))!#16856=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.0669872981077747, -4.62007874015746))!#16857=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.124999999999994, -4.58658509110357))!#16858=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.125000000000006, -4.58658509110357))!#16859=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,0.0669872981077873, -4.62007874015746))!#16860=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,7.41600017539289E-15, -4.65875387475228))!#16861=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16862=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16863=CARTESIAN_POINT('',(0.453715551181114,-0.249999999999993,-4.37007874015746))!#16864=CARTESIAN_POINT('',(0.431386451811854,-0.249999999999993,-4.22574117286006))!#16865=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.249999999999993, -4.37007874015746))!#16866=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.249999999999993, -4.30309144204968))!#16867=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,-0.249999999999993, -4.22574117286006))!#16868=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16869=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,-0.249999999999992, -4.22574117286006))!#16870=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.183012701892212, -4.18706603826524))!#16871=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.124999999999993, -4.15357238921135))!#16872=CARTESIAN_POINT('Origin',(0.442551001496484,6.47083244391275E-15, -4.37007874015746))!#16873=CARTESIAN_POINT('',(0.431386451811854,-0.249999999999992,-4.51441630745487))!#16874=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,-0.249999999999993, -4.51441630745487))!#16875=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.249999999999993, -4.43706603826524))!#16876=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.249999999999993, -4.37007874015746))!#16877=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.124999999999994, -4.58658509110357))!#16878=CARTESIAN_POINT('Ctrl Pts',(0.453715551181114,-0.183012701892213, -4.55309144204968))!#16879=CARTESIAN_POINT('Ctrl Pts',(0.431386451811854,-0.249999999999992, -4.51441630745487))!#16880=CARTESIAN_POINT('Origin',(0.453715551181113,6.48543092612867E-15, -4.37007874015746))!#16881=CARTESIAN_POINT('Origin',(0.275590551181114,-0.249999999999994,-4.51441630745487))!#16882=CARTESIAN_POINT('',(0.275590551181114,-0.249999999999994,-4.22574117286006))!#16883=CARTESIAN_POINT('',(0.275590551181114,-0.249999999999994,-4.22574117286006))!#16884=CARTESIAN_POINT('',(0.275590551181114,-0.249999999999994,-4.51441630745487))!#16885=CARTESIAN_POINT('',(0.275590551181113,-0.249999999999994,-4.35324976707768))!#16886=CARTESIAN_POINT('',(0.275590551181114,-0.249999999999994,-4.51441630745487))!#16887=CARTESIAN_POINT('Origin',(0.275590551181114,-0.249999999999994,-4.22574117286006))!#16888=CARTESIAN_POINT('',(0.275590551181113,6.5575180142002E-15,-4.08140360556265))!#16889=CARTESIAN_POINT('',(0.275590551181113,6.5575180142002E-15,-4.08140360556265))!#16890=CARTESIAN_POINT('',(0.275590551181113,-0.368515083040596,-4.29416588795658))!#16891=CARTESIAN_POINT('Origin',(0.275590551181113,6.5575180142002E-15, -4.08140360556265))!#16892=CARTESIAN_POINT('',(0.275590551181113,0.250000000000006,-4.22574117286006))!#16893=CARTESIAN_POINT('',(0.275590551181113,0.250000000000006,-4.22574117286006))!#16894=CARTESIAN_POINT('',(0.275590551181112,-0.195589401247295,-3.96848001210188))!#16895=CARTESIAN_POINT('Origin',(0.275590551181113,0.250000000000006,-4.22574117286006))!#16896=CARTESIAN_POINT('',(0.275590551181113,0.250000000000006,-4.51441630745487))!#16897=CARTESIAN_POINT('',(0.275590551181113,0.250000000000006,-4.51441630745487))!#16898=CARTESIAN_POINT('',(0.275590551181113,0.250000000000006,-4.20891219978027))!#16899=CARTESIAN_POINT('Origin',(0.275590551181113,0.250000000000006,-4.51441630745487))!#16900=CARTESIAN_POINT('',(0.275590551181113,6.07234826805433E-15,-4.65875387475228))!#16901=CARTESIAN_POINT('',(0.275590551181113,6.07234826805433E-15,-4.65875387475228))!#16902=CARTESIAN_POINT('',(0.275590551181114,0.00648491695940337,-4.6550098062001))!#16903=CARTESIAN_POINT('Origin',(0.275590551181113,6.07234826805433E-15, -4.65875387475228))!#16904=CARTESIAN_POINT('',(0.275590551181114,-0.320589401247295,-4.47366149764281))!#16905=CARTESIAN_POINT('Origin',(0.453715551181113,6.4526487502047E-15, -4.37007874015746))!#16906=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16907=CARTESIAN_POINT('',(-0.393700787401585,1.41732283464565,4.27737985303894))!#16908=CARTESIAN_POINT('',(-0.393700787401585,1.41732283464565,4.14781699735465))!#16909=CARTESIAN_POINT('',(-0.393700787401584,1.41732283464567,3.84341207318605))!#16910=CARTESIAN_POINT('',(-0.431102362204734,1.41732283464565,4.21259842519679))!#16911=CARTESIAN_POINT('',(-0.215551181102373,1.41732283464565,4.58594402249757))!#16912=CARTESIAN_POINT('',(-0.431102362204734,1.41732283464565,4.21259842519679))!#16913=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16914=CARTESIAN_POINT('',(0.216535433070856,1.41732283464567,4.11900262436715))!#16915=CARTESIAN_POINT('',(0.216535433070855,1.41732283464565,4.58423924808067))!#16916=CARTESIAN_POINT('',(0.216535433070856,1.41732283464567,3.9812073487766))!#16917=CARTESIAN_POINT('',(0.215551181102352,1.41732283464565,4.58594402249757))!#16918=CARTESIAN_POINT('',(0.431102362204715,1.41732283464565,4.2125984251968))!#16919=CARTESIAN_POINT('',(-0.215551181102373,1.41732283464565,4.58594402249757))!#16920=CARTESIAN_POINT('',(0.215551181102352,1.41732283464565,4.58594402249757))!#16921=CARTESIAN_POINT('',(-0.216535433070877,1.41732283464565,4.58423924808067))!#16922=CARTESIAN_POINT('',(-0.215551181102373,1.41732283464565,4.58594402249757))!#16923=CARTESIAN_POINT('',(-0.216535433070876,1.41732283464567,4.11900262436715))!#16924=CARTESIAN_POINT('',(-0.216535433070877,1.41732283464567,4.27916666651428))!#16925=CARTESIAN_POINT('Origin',(-1.02800594180076E-14,1.41732283464567, 4.11900262436715))!#16926=CARTESIAN_POINT('',(-1.01054422395258E-14,1.41732283464565,4.40944881889758))!#16927=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16928=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16929=CARTESIAN_POINT('',(0.393700787401564,1.41732283464567,4.14781699735465))!#16930=CARTESIAN_POINT('',(0.393700787401564,1.41732283464567,4.27737985303894))!#16931=CARTESIAN_POINT('',(0.393700787401565,1.41732283464567,3.84341207318605))!#16932=CARTESIAN_POINT('',(0.431102362204715,1.41732283464565,4.2125984251968))!#16933=CARTESIAN_POINT('',(0.215551181102353,1.41732283464565,3.83925282789602))!#16934=CARTESIAN_POINT('',(0.431102362204715,1.41732283464565,4.2125984251968))!#16935=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16936=CARTESIAN_POINT('',(-0.217952522490191,1.41732283464567,3.84341207318605))!#16937=CARTESIAN_POINT('',(0.217952522490173,1.41732283464567,3.84341207318605))!#16938=CARTESIAN_POINT('',(-9.59502645841065E-15,1.41732283464567,3.84341207318605))!#16939=CARTESIAN_POINT('',(-0.215551181102371,1.41732283464565,3.83925282789602))!#16940=CARTESIAN_POINT('',(-0.431102362204734,1.41732283464565,4.21259842519679))!#16941=CARTESIAN_POINT('',(0.215551181102353,1.41732283464565,3.83925282789602))!#16942=CARTESIAN_POINT('',(-0.215551181102371,1.41732283464565,3.83925282789602))!#16943=CARTESIAN_POINT('',(0.215551181102353,1.41732283464565,3.83925282789602))!#16944=CARTESIAN_POINT('Origin',(-9.63299057205709E-15,1.41732283464565, 4.21259842519679))!#16945=CARTESIAN_POINT('',(-9.15005166997907E-15,-0.400120774940372,4.01574803149601))!#16946=CARTESIAN_POINT('',(-1.01039080600481E-14,-0.307249638992278,4.40944881889758))!#16947=CARTESIAN_POINT('Ctrl Pts',(-9.15169077877528E-15,-0.400120774940372, 4.01574803149601))!#16948=CARTESIAN_POINT('Ctrl Pts',(0.025396516428021,-0.400120774940372, 4.01574803149601))!#16949=CARTESIAN_POINT('Ctrl Pts',(0.0516939858815002,-0.395650503252372, 4.02092858269898))!#16950=CARTESIAN_POINT('Ctrl Pts',(0.099137720620055,-0.38061984548676, 4.04060619060299))!#16951=CARTESIAN_POINT('Ctrl Pts',(0.120370156736323,-0.370315246758566, 4.05508993921443))!#16952=CARTESIAN_POINT('Ctrl Pts',(0.154849671395388,-0.350071411199076, 4.08858260035593))!#16953=CARTESIAN_POINT('Ctrl Pts',(0.170335999721438,-0.338564898772351, 4.11040390659829))!#16954=CARTESIAN_POINT('Ctrl Pts',(0.191354802561813,-0.318656855448394, 4.15929351117799))!#16955=CARTESIAN_POINT('Ctrl Pts',(0.196850393700778,-0.310330078481782, 4.18640806018537))!#16956=CARTESIAN_POINT('Ctrl Pts',(0.196850393700778,-0.299750642580862, 4.23681382843785))!#16957=CARTESIAN_POINT('Ctrl Pts',(0.192079895132156,-0.296537868477622, 4.26298884902085))!#16958=CARTESIAN_POINT('Ctrl Pts',(0.172262774413763,-0.294568176288553, 4.31138819157492))!#16959=CARTESIAN_POINT('Ctrl Pts',(0.157213525074871,-0.295702227930539, 4.3336069390737))!#16960=CARTESIAN_POINT('Ctrl Pts',(0.122334534239945,-0.299261593542528, 4.36881406707982))!#16961=CARTESIAN_POINT('Ctrl Pts',(0.100159726864259,-0.301916210401764, 4.3841035051554))!#16962=CARTESIAN_POINT('Ctrl Pts',(0.0514704794113797,-0.305974039011708, 4.4044232098866))!#16963=CARTESIAN_POINT('Ctrl Pts',(0.0249435447271745,-0.307249638992278, 4.40944881889758))!#16964=CARTESIAN_POINT('Ctrl Pts',(-1.00996420325768E-14,-0.307249638992278, 4.40944881889758))!#16965=CARTESIAN_POINT('Ctrl Pts',(-1.01406197524817E-14,-0.307249638992278, 4.40944881889758))!#16966=CARTESIAN_POINT('Ctrl Pts',(-0.0249435447271946,-0.307249638992278, 4.40944881889758))!#16967=CARTESIAN_POINT('Ctrl Pts',(-0.0514704794113993,-0.305974039011707, 4.4044232098866))!#16968=CARTESIAN_POINT('Ctrl Pts',(-0.100159726864278,-0.301916210401764, 4.38410350515539))!#16969=CARTESIAN_POINT('Ctrl Pts',(-0.122334534239965,-0.299261593542528, 4.36881406707982))!#16970=CARTESIAN_POINT('Ctrl Pts',(-0.157213525074891,-0.295702227930539, 4.3336069390737))!#16971=CARTESIAN_POINT('Ctrl Pts',(-0.172262774413783,-0.294568176288553, 4.31138819157492))!#16972=CARTESIAN_POINT('Ctrl Pts',(-0.192079895132175,-0.296537868477622, 4.26298884902085))!#16973=CARTESIAN_POINT('Ctrl Pts',(-0.196850393700797,-0.299750642580862, 4.23681382843785))!#16974=CARTESIAN_POINT('Ctrl Pts',(-0.196850393700797,-0.310330078481782, 4.18640806018536))!#16975=CARTESIAN_POINT('Ctrl Pts',(-0.191354802561832,-0.318656855448394, 4.15929351117799))!#16976=CARTESIAN_POINT('Ctrl Pts',(-0.170335999721458,-0.33856489877235, 4.11040390659829))!#16977=CARTESIAN_POINT('Ctrl Pts',(-0.154849671395407,-0.350071411199076, 4.08858260035593))!#16978=CARTESIAN_POINT('Ctrl Pts',(-0.120370156736341,-0.370315246758565, 4.05508993921444))!#16979=CARTESIAN_POINT('Ctrl Pts',(-0.0991377206200736,-0.38061984548676, 4.04060619060299))!#16980=CARTESIAN_POINT('Ctrl Pts',(-0.0516939858815186,-0.395650503252372, 4.02092858269898))!#16981=CARTESIAN_POINT('Ctrl Pts',(-0.0253965164280394,-0.400120774940372, 4.01574803149601))!#16982=CARTESIAN_POINT('Ctrl Pts',(-9.09159012291467E-15,-0.400120774940372, 4.01574803149601))!#16983=CARTESIAN_POINT('',(-1.01054422395258E-14,1.41732283464565,4.40944881889758))!#16984=CARTESIAN_POINT('Origin',(0.368690694844632,2.98228346456691,4.30101356467947))!#16985=CARTESIAN_POINT('',(0.408372036646068,2.99212598425195,4.2125984251968))!#16986=CARTESIAN_POINT('',(0.204186018323029,2.99212598425195,4.56625898312749))!#16987=CARTESIAN_POINT('',(0.360166822760139,2.99212598425195,4.29609230483695))!#16988=CARTESIAN_POINT('',(0.215551181102352,2.97244094488187,4.58594402249757))!#16989=CARTESIAN_POINT('',(0.204186018323029,2.99212598425195,4.56625898312749))!#16990=CARTESIAN_POINT('',(0.431102362204715,2.97244094488187,4.2125984251968))!#16991=CARTESIAN_POINT('',(0.377214566929124,2.97244094488187,4.30593482452199))!#16992=CARTESIAN_POINT('',(0.431102362204715,2.97244094488187,4.2125984251968))!#16993=CARTESIAN_POINT('Origin',(0.107775590551171,2.98228346456691,4.57610150281253))!#16994=CARTESIAN_POINT('',(-0.215551181102373,2.97244094488187,4.58594402249757))!#16995=CARTESIAN_POINT('',(0.107775590551171,2.97244094488187,4.58594402249757))!#16996=CARTESIAN_POINT('',(-0.204186018323049,2.99212598425195,4.56625898312749))!#16997=CARTESIAN_POINT('',(0.107775590551171,2.99212598425195,4.56625898312749))!#16998=CARTESIAN_POINT('',(-0.204186018323049,2.99212598425195,4.56625898312749))!#16999=CARTESIAN_POINT('Origin',(-0.26091510429347,2.98228346456691,4.48768636332986))!#17000=CARTESIAN_POINT('',(-0.431102362204734,2.97244094488187,4.2125984251968))!#17001=CARTESIAN_POINT('',(-0.269438976377963,2.97244094488187,4.49260762317238))!#17002=CARTESIAN_POINT('',(-0.408372036646087,2.99212598425195,4.2125984251968))!#17003=CARTESIAN_POINT('',(-0.252391232208978,2.99212598425195,4.48276510348734))!#17004=CARTESIAN_POINT('',(-0.408372036646087,2.99212598425195,4.2125984251968))!#17005=CARTESIAN_POINT('Origin',(0.260915104293451,2.98228346456691,3.93751048706374))!#17006=CARTESIAN_POINT('',(0.20418601832303,2.99212598425195,3.8589378672661))!#17007=CARTESIAN_POINT('',(0.252391232208959,2.99212598425195,3.94243174690626))!#17008=CARTESIAN_POINT('',(0.215551181102353,2.97244094488187,3.83925282789603))!#17009=CARTESIAN_POINT('',(0.269438976377944,2.97244094488187,3.93258922722122))!#17010=CARTESIAN_POINT('',(0.20418601832303,2.99212598425195,3.8589378672661))!#17011=CARTESIAN_POINT('Origin',(-0.368690694844651,2.98228346456691,4.12418328571412))!#17012=CARTESIAN_POINT('',(-0.215551181102371,2.97244094488187,3.83925282789603))!#17013=CARTESIAN_POINT('',(-0.377214566929143,2.97244094488187,4.1192620258716))!#17014=CARTESIAN_POINT('',(-0.204186018323048,2.99212598425195,3.8589378672661))!#17015=CARTESIAN_POINT('',(-0.360166822760158,2.99212598425195,4.12910454555664))!#17016=CARTESIAN_POINT('',(-0.204186018323048,2.99212598425195,3.8589378672661))!#17017=CARTESIAN_POINT('Origin',(-0.10777559055119,2.98228346456691,3.84909534758106))!#17018=CARTESIAN_POINT('',(-0.10777559055119,2.97244094488187,3.83925282789603))!#17019=CARTESIAN_POINT('',(-0.10777559055119,2.99212598425195,3.8589378672661))!#17020=CARTESIAN_POINT('Origin',(-0.215551181102371,2.99212598425195,3.83925282789603))!#17021=CARTESIAN_POINT('',(0.215551181102353,2.99212598425195,3.83925282789603))!#17022=CARTESIAN_POINT('',(-0.215551181102371,2.99212598425195,3.83925282789603))!#17023=CARTESIAN_POINT('Origin',(0.215551181102353,2.99212598425195,3.83925282789603))!#17024=CARTESIAN_POINT('',(0.431102362204715,2.99212598425195,4.2125984251968))!#17025=CARTESIAN_POINT('',(0.189507786484991,1.41732283464567,3.79414434521719))!#17026=CARTESIAN_POINT('Origin',(0.431102362204715,2.99212598425195,4.2125984251968))!#17027=CARTESIAN_POINT('',(0.215551181102352,2.99212598425195,4.58594402249757))!#17028=CARTESIAN_POINT('',(0.457145756822077,1.41732283464567,4.16748994251796))!#17029=CARTESIAN_POINT('Origin',(-9.67290798566314E-15,2.99212598425195, 4.2125984251968))!#17030=CARTESIAN_POINT('Origin',(-0.431102362204734,2.99212598425195,4.2125984251968))!#17031=CARTESIAN_POINT('',(-0.29728337703619,1.41732283464567,3.98081714386757))!#17032=CARTESIAN_POINT('',(-0.431102362204734,2.99212598425195,4.2125984251968))!#17033=CARTESIAN_POINT('Origin',(-0.215551181102373,2.99212598425195,4.58594402249757))!#17034=CARTESIAN_POINT('',(-0.349370166270916,1.41732283464567,4.35416274116834))!#17035=CARTESIAN_POINT('',(-0.215551181102373,2.99212598425195,4.58594402249757))!#17036=CARTESIAN_POINT('Origin',(0.215551181102352,2.99212598425195,4.58594402249757))!#17037=CARTESIAN_POINT('Origin',(-9.59502645841065E-15,1.41732283464567, 3.84341207318605))!#17038=CARTESIAN_POINT('',(0.216535433070854,1.41732283464567,4.71492125984252))!#17039=CARTESIAN_POINT('',(0.216535433070856,1.41732283464567,3.9812073487766))!#17040=CARTESIAN_POINT('',(0.393700787401563,1.41732283464567,4.71492125984252))!#17041=CARTESIAN_POINT('',(-1.17613290217337E-14,1.41732283464567,4.71492125984252))!#17042=CARTESIAN_POINT('',(0.393700787401565,1.41732283464567,3.84341207318605))!#17043=CARTESIAN_POINT('Origin',(-9.59502645841065E-15,1.41732283464567, 3.84341207318605))!#17044=CARTESIAN_POINT('',(-0.393700787401586,1.41732283464567,4.71492125984252))!#17045=CARTESIAN_POINT('',(-0.393700787401584,1.41732283464567,3.84341207318605))!#17046=CARTESIAN_POINT('',(-0.216535433070878,1.41732283464567,4.71492125984252))!#17047=CARTESIAN_POINT('',(-1.17613290217337E-14,1.41732283464567,4.71492125984252))!#17048=CARTESIAN_POINT('',(-0.216535433070877,1.41732283464567,4.27916666651428))!#17049=CARTESIAN_POINT('Origin',(-9.59502645841065E-15,1.41732283464567, 3.84341207318605))!#17050=CARTESIAN_POINT('',(-0.393700787401584,1.41732283464567,3.84341207318605))!#17051=CARTESIAN_POINT('',(-9.59502645841065E-15,1.41732283464567,3.84341207318605))!#17052=CARTESIAN_POINT('',(-0.393700787401584,1.41732283464567,3.84341207318605))!#17053=CARTESIAN_POINT('Origin',(-9.59502645841065E-15,1.41732283464567, 3.84341207318605))!#17054=CARTESIAN_POINT('',(0.393700787401565,1.41732283464567,3.84341207318605))!#17055=CARTESIAN_POINT('',(0.393700787401565,1.41732283464567,3.84341207318605))!#17056=CARTESIAN_POINT('',(-9.59502645841065E-15,1.41732283464567,3.84341207318605))!#17057=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773739E-17))!#17058=CARTESIAN_POINT('',(-0.275590551181103,0.787401574803149,3.83737109892097))!#17059=CARTESIAN_POINT('',(-0.275590551181111,1.23717612415804,3.71682840990133))!#17060=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17061=CARTESIAN_POINT('',(-0.393700787401584,0.787401574803149,3.83737109892097))!#17062=CARTESIAN_POINT('',(-9.5786450596023E-15,0.787401574803149,3.83737109892097))!#17063=CARTESIAN_POINT('',(-0.393700787401584,1.23717612415804,3.71682840990133))!#17064=CARTESIAN_POINT('Origin',(-0.393700787401575,-2.92420483830576E-17, -1.06999649788732E-15))!#17065=CARTESIAN_POINT('',(-9.27998810069624E-15,1.23717612415804,3.71682840990133))!#17066=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773739E-17))!#17067=CARTESIAN_POINT('',(0.275590551181102,0.787401574803149,3.83737109892097))!#17068=CARTESIAN_POINT('',(0.275590551181093,1.23717612415804,3.71682840990133))!#17069=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17070=CARTESIAN_POINT('',(0.393700787401565,1.23717612415804,3.71682840990133))!#17071=CARTESIAN_POINT('',(-9.27998810069624E-15,1.23717612415804,3.71682840990133))!#17072=CARTESIAN_POINT('',(0.393700787401565,0.787401574803149,3.83737109892097))!#17073=CARTESIAN_POINT('Origin',(0.393700787401575,-2.75352942624944E-17, 8.87240529532575E-16))!#17074=CARTESIAN_POINT('',(-9.5786450596023E-15,0.787401574803149,3.83737109892097))!#17075=CARTESIAN_POINT('Origin',(-1.02800594180076E-14,1.41732283464567, 4.11900262436715))!#17076=CARTESIAN_POINT('',(0.216535433070856,0.541458631261433,4.11900262436715))!#17077=CARTESIAN_POINT('',(-0.216535433070876,0.541458631261433,4.11900262436715))!#17078=CARTESIAN_POINT('Ctrl Pts',(0.216535433070856,0.541458631261433, 4.11900262436715))!#17079=CARTESIAN_POINT('Ctrl Pts',(0.216535433070856,0.548651073017888, 4.10057747522579))!#17080=CARTESIAN_POINT('Ctrl Pts',(0.214097768972509,0.557132301023746, 4.08277156498314))!#17081=CARTESIAN_POINT('Ctrl Pts',(0.204597093539082,0.578365325193486, 4.04434576981144))!#17082=CARTESIAN_POINT('Ctrl Pts',(0.194940906363982,0.593652323058912, 4.02183335638626))!#17083=CARTESIAN_POINT('Ctrl Pts',(0.169451217846973,0.626175783918569, 3.98214463984576))!#17084=CARTESIAN_POINT('Ctrl Pts',(0.153653092793221,0.643379814186977, 3.96491906901515))!#17085=CARTESIAN_POINT('Ctrl Pts',(0.120039067336025,0.674595567884101, 3.93746273366836))!#17086=CARTESIAN_POINT('Ctrl Pts',(0.0993054818485823,0.691411288367448, 3.9249864288048))!#17087=CARTESIAN_POINT('Ctrl Pts',(0.0644413291573199,0.711010587637477, 3.9119060869216))!#17088=CARTESIAN_POINT('Ctrl Pts',(0.0521778173736216,0.716579626195641, 3.90844871334006))!#17089=CARTESIAN_POINT('Ctrl Pts',(0.0266975163834417,0.724369657053895, 3.90372903883552))!#17090=CARTESIAN_POINT('Ctrl Pts',(0.0134725772365863,0.726564241999816, 3.90246719129628))!#17091=CARTESIAN_POINT('Ctrl Pts',(-9.74032196252276E-15,0.726564241999816, 3.90246719129628))!#17092=CARTESIAN_POINT('Ctrl Pts',(-0.0134725772366058,0.726564241999816, 3.90246719129628))!#17093=CARTESIAN_POINT('Ctrl Pts',(-0.026697516383461,0.724369657053896, 3.90372903883552))!#17094=CARTESIAN_POINT('Ctrl Pts',(-0.0521778173736409,0.716579626195641, 3.90844871334006))!#17095=CARTESIAN_POINT('Ctrl Pts',(-0.0644413291573392,0.711010587637477, 3.9119060869216))!#17096=CARTESIAN_POINT('Ctrl Pts',(-0.0993054818486018,0.691411288367448, 3.9249864288048))!#17097=CARTESIAN_POINT('Ctrl Pts',(-0.120039067336044,0.674595567884101, 3.93746273366836))!#17098=CARTESIAN_POINT('Ctrl Pts',(-0.153653092793241,0.643379814186977, 3.96491906901515))!#17099=CARTESIAN_POINT('Ctrl Pts',(-0.169451217846993,0.626175783918569, 3.98214463984576))!#17100=CARTESIAN_POINT('Ctrl Pts',(-0.194940906364002,0.593652323058913, 4.02183335638626))!#17101=CARTESIAN_POINT('Ctrl Pts',(-0.204597093539102,0.578365325193486, 4.04434576981144))!#17102=CARTESIAN_POINT('Ctrl Pts',(-0.214097768972519,0.557132301023769, 4.0827715649831))!#17103=CARTESIAN_POINT('Ctrl Pts',(-0.216535433070876,0.548651073017796, 4.10057747522603))!#17104=CARTESIAN_POINT('Ctrl Pts',(-0.216535433070876,0.541458631261433, 4.11900262436715))!#17105=CARTESIAN_POINT('',(0.216535433070856,1.41732283464567,4.11900262436715))!#17106=CARTESIAN_POINT('',(-0.216535433070876,1.41732283464567,4.11900262436715))!#17107=CARTESIAN_POINT('Origin',(-0.216535433070878,1.41732283464567,4.71492125984252))!#17108=CARTESIAN_POINT('',(-0.216535433070878,0.944881889763779,4.70955380546951))!#17109=CARTESIAN_POINT('Origin',(-0.216535433070877,0.944881889763779,4.27648293932778))!#17110=CARTESIAN_POINT('',(-0.216535433070878,1.41732283464567,4.71492125984252))!#17111=CARTESIAN_POINT('Origin',(0.216535433070856,1.41732283464567,4.11900262436715))!#17112=CARTESIAN_POINT('',(0.216535433070854,0.944881889763779,4.70955380546951))!#17113=CARTESIAN_POINT('Origin',(0.216535433070855,0.944881889763779,4.27648293932778))!#17114=CARTESIAN_POINT('',(0.216535433070854,1.41393812241931,4.71488280574865))!#17115=CARTESIAN_POINT('Origin',(-1.06704827710193E-14,0.944881889763779, 4.27648293932778))!#17116=CARTESIAN_POINT('',(-0.393700787401586,0.944881889763779,4.70955380546951))!#17117=CARTESIAN_POINT('',(-1.17469631361002E-14,0.944881889763779,4.70955380546951))!#17118=CARTESIAN_POINT('',(-0.393700787401584,0.787401574803149,3.87305968082543))!#17119=CARTESIAN_POINT('Origin',(-0.393700787401585,0.944881889763779,4.27648293932778))!#17120=CARTESIAN_POINT('',(0.393700787401565,0.787401574803149,3.87305968082543))!#17121=CARTESIAN_POINT('',(-9.6673558473309E-15,0.787401574803149,3.87305968082543))!#17122=CARTESIAN_POINT('',(0.393700787401563,0.944881889763779,4.70955380546951))!#17123=CARTESIAN_POINT('Origin',(0.393700787401564,0.944881889763779,4.27648293932778))!#17124=CARTESIAN_POINT('',(-1.17469631361002E-14,0.944881889763779,4.70955380546951))!#17125=CARTESIAN_POINT('Origin',(-1.17613290217337E-14,1.41732283464567, 4.71492125984252))!#17126=CARTESIAN_POINT('',(-0.393700787401586,1.41732283464567,4.71492125984252))!#17127=CARTESIAN_POINT('Origin',(-0.393700787401585,1.06430770393205,4.2719849980805))!#17128=CARTESIAN_POINT('Origin',(-0.393700787401584,1.41732283464567,3.65193293657322))!#17129=CARTESIAN_POINT('',(-0.393700787401584,0.787401574803149,3.87305968082543))!#17130=CARTESIAN_POINT('Origin',(-1.17613290217337E-14,1.41732283464567, 4.71492125984252))!#17131=CARTESIAN_POINT('',(0.393700787401563,1.41732283464567,4.71492125984252))!#17132=CARTESIAN_POINT('Origin',(-9.11906848709123E-15,1.41732283464567, 3.65193293657322))!#17133=CARTESIAN_POINT('Origin',(0.393700787401566,1.41732283464567,3.65193293657322))!#17134=CARTESIAN_POINT('',(-9.29004858106688E-15,1.23717612415804,3.71682840990133))!#17135=CARTESIAN_POINT('Origin',(-9.6673558473309E-15,0.787401574803149, 3.87305968082543))!#17136=CARTESIAN_POINT('',(-7.31139311960086E-16,0.787401574803149,3.83737109892097))!#17137=CARTESIAN_POINT('',(0.393700787401565,0.787401574803149,3.87305968082543))!#17138=CARTESIAN_POINT('Origin',(0.393700787401564,1.06430770393205,4.2719849980805))!#17139=CARTESIAN_POINT('Origin',(-0.275590551181112,-1.29379595684131,4.03005621289609))!#17140=CARTESIAN_POINT('',(-0.275590551181111,-2.11102535736312,3.29984698605955))!#17141=CARTESIAN_POINT('',(-0.275590551181112,-0.670445755975529,3.85952337460609))!#17142=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17143=CARTESIAN_POINT('',(-0.275590551181112,-2.18050863031289,3.69785494787704))!#17144=CARTESIAN_POINT('',(-0.275590551181111,-2.11102535736312,3.29984698605955))!#17145=CARTESIAN_POINT('',(-0.275590551181114,-1.03837130755089,4.65292349823765))!#17146=CARTESIAN_POINT('',(-0.275590551181112,-2.18050863031289,3.69785494787704))!#17147=CARTESIAN_POINT('Origin',(-0.275590551181113,-0.747934461440037, 4.30559894051534))!#17148=CARTESIAN_POINT('Origin',(-9.22541783906002E-15,-2.18050863031289, 3.69785494787704))!#17149=CARTESIAN_POINT('',(0.275590551181094,-2.11102535736312,3.29984698605955))!#17150=CARTESIAN_POINT('',(-6.34105784754614E-16,-2.11102535736312,3.29984698605955))!#17151=CARTESIAN_POINT('',(0.275590551181093,-2.18050863031289,3.69785494787704))!#17152=CARTESIAN_POINT('',(0.275590551181094,-2.11102535736312,3.29984698605955))!#17153=CARTESIAN_POINT('',(-9.22541783906002E-15,-2.18050863031289,3.69785494787704))!#17154=CARTESIAN_POINT('Origin',(-1.16018988342114E-14,-1.03837130755089, 4.65292349823765))!#17155=CARTESIAN_POINT('',(0.275590551181091,-1.03837130755089,4.65292349823765))!#17156=CARTESIAN_POINT('',(0.275590551181093,-2.18050863031289,3.69785494787704))!#17157=CARTESIAN_POINT('',(-1.16018988342114E-14,-1.03837130755089,4.65292349823765))!#17158=CARTESIAN_POINT('Origin',(-1.07391868428208E-14,-0.747934461440037, 4.30559894051534))!#17159=CARTESIAN_POINT('',(0.275590551181093,-0.670445755975529,3.85952337460609))!#17160=CARTESIAN_POINT('Origin',(0.275590551181092,-0.747934461440037,4.30559894051534))!#17161=CARTESIAN_POINT('',(-1.06586615519088E-14,-0.670445755975529,3.85952337460609))!#17162=CARTESIAN_POINT('Origin',(0.275590551181092,-1.29379595684131,4.03005621289609))!#17163=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17164=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17165=CARTESIAN_POINT('',(0.275590551181103,0.118110236220472,-3.91554187347503))!#17166=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17167=CARTESIAN_POINT('',(0.0787401574803246,0.118110236220472,-3.91554187347503))!#17168=CARTESIAN_POINT('',(6.68411840869018E-16,0.118110236220472,-3.91554187347503))!#17169=CARTESIAN_POINT('',(0.0787401574803228,1.51984251968504,-3.61047045496758))!#17170=CARTESIAN_POINT('Origin',(0.0787401574803239,-2.8388671322776E-17, -7.71638586521798E-17))!#17171=CARTESIAN_POINT('',(-0.0787401574803071,1.51984251968504,-3.61047045496758))!#17172=CARTESIAN_POINT('',(6.13340533221493E-16,1.51984251968504,-3.61047045496758))!#17173=CARTESIAN_POINT('',(-0.0787401574803053,0.118110236220472,-3.91554187347503))!#17174=CARTESIAN_POINT('Origin',(-0.078740157480306,-2.8388671322776E-17, -1.05592109702562E-16))!#17175=CARTESIAN_POINT('',(-0.275590551181102,0.118110236220472,-3.91554187347503))!#17176=CARTESIAN_POINT('',(6.68411840869018E-16,0.118110236220472,-3.91554187347503))!#17177=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17178=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17179=CARTESIAN_POINT('',(-0.275590551181103,0.62992125984252,3.8663441902183))!#17180=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17181=CARTESIAN_POINT('',(0.275590551181102,0.62992125984252,3.8663441902183))!#17182=CARTESIAN_POINT('',(-7.36369516848311E-16,0.62992125984252,3.8663441902183))!#17183=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17184=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17185=CARTESIAN_POINT('',(-0.275590551181092,-1.51574803149606,-3.6121913149572))!#17186=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17187=CARTESIAN_POINT('',(0.275590551181112,-1.51574803149606,-3.6121913149572))!#17188=CARTESIAN_POINT('',(6.1365118182427E-16,-1.51574803149606,-3.6121913149572))!#17189=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17190=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773739E-17))!#17191=CARTESIAN_POINT('',(-0.275590551181102,-0.118110236220473,-3.91554187347503))!#17192=CARTESIAN_POINT('',(0.275590551181103,-0.118110236220473,-3.91554187347503))!#17193=CARTESIAN_POINT('',(6.68411840869018E-16,-0.118110236220473,-3.91554187347503))!#17194=CARTESIAN_POINT('',(0.275590551181112,5.62189211732782E-15,-3.91732283464567))!#17195=CARTESIAN_POINT('Origin',(0.275590551181102,1.65597006085942E-16, 5.93654975419591E-16))!#17196=CARTESIAN_POINT('',(0.0787401574803246,5.48333091917874E-15,-3.91732283464567))!#17197=CARTESIAN_POINT('',(9.69883480543631E-15,5.4279064399191E-15,-3.91732283464567))!#17198=CARTESIAN_POINT('Origin',(0.0787401574803149,2.70358079368578E-17, 1.04345718564616E-16))!#17199=CARTESIAN_POINT('',(6.68411840869018E-16,0.118110236220472,-3.91554187347503))!#17200=CARTESIAN_POINT('',(-0.0787401574803053,5.37248196065947E-15,-3.91732283464567))!#17201=CARTESIAN_POINT('Origin',(-0.078740157480315,-8.38131505824098E-17, -2.87101686919364E-16))!#17202=CARTESIAN_POINT('',(-0.275590551181093,5.23392076251039E-15,-3.91732283464567))!#17203=CARTESIAN_POINT('',(9.69883480543631E-15,5.4279064399191E-15,-3.91732283464567))!#17204=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.22374348731494E-16, -7.76410943774338E-16))!#17205=CARTESIAN_POINT('Origin',(-0.275590551181092,-0.585472645717199, -4.19208322670049))!#17206=CARTESIAN_POINT('',(-0.27559055118109,-0.2263779527559,-4.76217685604414))!#17207=CARTESIAN_POINT('Origin',(-0.275590551181092,5.864547835669E-15, -4.37007874015748))!#17208=CARTESIAN_POINT('',(-0.275590551181091,-1.51574803149606,-4.01775869399846))!#17209=CARTESIAN_POINT('',(-0.275590551181091,-1.51574803149606,-4.01775869399846))!#17210=CARTESIAN_POINT('',(-0.275590551181092,-1.51574803149606,-3.6121913149572))!#17211=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17212=CARTESIAN_POINT('Origin',(0.275590551181113,-0.585472645717199,-4.19208322670049))!#17213=CARTESIAN_POINT('',(0.275590551181114,-0.226377952755899,-4.76217685604414))!#17214=CARTESIAN_POINT('Origin',(0.275590551181113,6.25251919048643E-15, -4.37007874015748))!#17215=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17216=CARTESIAN_POINT('',(0.275590551181113,-1.51574803149606,-4.01775869399846))!#17217=CARTESIAN_POINT('',(0.275590551181112,-1.51574803149606,-3.6121913149572))!#17218=CARTESIAN_POINT('',(0.275590551181113,-1.51574803149606,-4.01775869399846))!#17219=CARTESIAN_POINT('Origin',(0.0787401574803246,0.785457750822755,-4.13687424826405))!#17220=CARTESIAN_POINT('',(0.0787401574803266,0.225839973577632,-4.7624869667663))!#17221=CARTESIAN_POINT('Origin',(0.0787401574803258,6.11395799233735E-15, -4.37007874015748))!#17222=CARTESIAN_POINT('',(0.0787401574803238,1.51984251968504,-4.01775869399845))!#17223=CARTESIAN_POINT('',(0.0787401574803238,1.51984251968504,-4.01775869399845))!#17224=CARTESIAN_POINT('',(0.0787401574803228,1.51984251968504,-3.61047045496758))!#17225=CARTESIAN_POINT('Origin',(8.87868402093048E-15,1.51984251968504, -4.01775869399845))!#17226=CARTESIAN_POINT('',(-0.0787401574803033,0.225839973577632,-4.7624869667663))!#17227=CARTESIAN_POINT('',(1.16406850039204E-14,0.225839973577632,-4.7624869667663))!#17228=CARTESIAN_POINT('',(-0.0787401574803061,1.51984251968504,-4.01775869399845))!#17229=CARTESIAN_POINT('',(-0.0787401574803061,1.51984251968504,-4.01775869399845))!#17230=CARTESIAN_POINT('',(8.87868402093048E-15,1.51984251968504,-4.01775869399845))!#17231=CARTESIAN_POINT('Origin',(7.86629130065537E-15,1.51984251968504, -3.61047045496758))!#17232=CARTESIAN_POINT('',(-0.0787401574803071,1.51984251968504,-3.61047045496758))!#17233=CARTESIAN_POINT('Origin',(-0.0787401574803053,0.785457750822755, -4.13687424826405))!#17234=CARTESIAN_POINT('Origin',(-0.0787401574803041,6.00310903381808E-15, -4.37007874015748))!#17235=CARTESIAN_POINT('Origin',(1.08242460962028E-14,6.05853351307772E-15, -4.37007874015748))!#17236=CARTESIAN_POINT('',(1.19582262415838E-14,-0.226377952755899,-4.76217685604414))!#17237=CARTESIAN_POINT('Origin',(1.10154085453479E-14,-1.51574803149606, -4.01775869399846))!#17238=CARTESIAN_POINT('',(1.10154085453479E-14,-1.51574803149606,-4.01775869399846))!#17239=CARTESIAN_POINT('Origin',(1.19582262415838E-14,-0.226377952755899, -4.76217685604414))!#17240=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17241=CARTESIAN_POINT('',(0.275590551181102,-0.629921259842519,3.8663441902183))!#17242=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17243=CARTESIAN_POINT('',(-0.275590551181103,-0.629921259842519,3.8663441902183))!#17244=CARTESIAN_POINT('',(-7.36369516848311E-16,-0.629921259842519,3.8663441902183))!#17245=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17246=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17247=CARTESIAN_POINT('',(0.16272327691824,-0.629921259842519,3.5069232445583))!#17248=CARTESIAN_POINT('',(0.16272327691824,-3.5630480542402,4.72479365218764E-16))!#17249=CARTESIAN_POINT('Origin',(0.16272327691824,-2.8388671322776E-17, -6.20032768107465E-17))!#17250=CARTESIAN_POINT('',(0.484517892952419,-0.629921259842519,3.5069232445583))!#17251=CARTESIAN_POINT('',(-6.71487065485785E-16,-0.629921259842519,3.5069232445583))!#17252=CARTESIAN_POINT('',(0.48451789295242,-0.118110236220473,-3.56108991868007))!#17253=CARTESIAN_POINT('Origin',(0.48451789295242,-2.8388671322776E-17, -3.91310767711165E-18))!#17254=CARTESIAN_POINT('',(0.162723276918241,-0.118110236220473,-3.56108991868007))!#17255=CARTESIAN_POINT('',(6.04426388727086E-16,-0.118110236220473,-3.56108991868007))!#17256=CARTESIAN_POINT('Origin',(0.16272327691824,-2.8388671322776E-17, -6.20032768107465E-17))!#17257=CARTESIAN_POINT('Origin',(-8.91266937489154E-16,-0.629921259842519, 4.7244094488189))!#17258=CARTESIAN_POINT('',(0.0982607176190578,-0.629921259842519,3.68672987672739))!#17259=CARTESIAN_POINT('Ctrl Pts',(0.0982607176190576,-0.629921259842519, 3.68672987672739))!#17260=CARTESIAN_POINT('Ctrl Pts',(0.131297623809986,-0.629921259842519, 3.5946463228349))!#17261=CARTESIAN_POINT('Ctrl Pts',(0.16272327691824,-0.629921259842519, 3.5069232445583))!#17262=CARTESIAN_POINT('',(-0.421800155809095,-0.629921259842519,3.68672987672739))!#17263=CARTESIAN_POINT('',(0.0700787401574798,-0.629921259842519,3.68672987672739))!#17264=CARTESIAN_POINT('',(-0.386926160365219,-0.629921259842519,3.78660079087158))!#17265=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365219,-0.629921259842519, 3.78660079087158))!#17266=CARTESIAN_POINT('Ctrl Pts',(-0.404596179880042,-0.629921259842519, 3.73601645765023))!#17267=CARTESIAN_POINT('Ctrl Pts',(-0.421800155809095,-0.629921259842519, 3.68672987672739))!#17268=CARTESIAN_POINT('Ctrl Pts',(-0.275590551181103,-0.629921259842519, 3.8663441902183))!#17269=CARTESIAN_POINT('Ctrl Pts',(-0.290104827883467,-0.629921259842519, 3.8663441902183))!#17270=CARTESIAN_POINT('Ctrl Pts',(-0.307181006715686,-0.629921259842519, 3.86291481635596))!#17271=CARTESIAN_POINT('Ctrl Pts',(-0.334059987434383,-0.629921259842519, 3.85169618256627))!#17272=CARTESIAN_POINT('Ctrl Pts',(-0.348594584922562,-0.629921259842519, 3.84188808653618))!#17273=CARTESIAN_POINT('Ctrl Pts',(-0.36926236176056,-0.629921259842519, 3.82105369875816))!#17274=CARTESIAN_POINT('Ctrl Pts',(-0.379017137352408,-0.629921259842519, 3.80630627150443))!#17275=CARTESIAN_POINT('Ctrl Pts',(-0.385423165617749,-0.629921259842519, 3.79071857457368))!#17276=CARTESIAN_POINT('Ctrl Pts',(-0.386197102634589,-0.629921259842519, 3.78868787963528))!#17277=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365219,-0.629921259842519, 3.78660079087158))!#17278=CARTESIAN_POINT('',(0.386926160365217,-0.629921259842519,3.78660079087158))!#17279=CARTESIAN_POINT('Ctrl Pts',(0.386926160365217,-0.629921259842519, 3.78660079087158))!#17280=CARTESIAN_POINT('Ctrl Pts',(0.386197102634588,-0.629921259842519, 3.78868787963528))!#17281=CARTESIAN_POINT('Ctrl Pts',(0.385423165617748,-0.629921259842519, 3.79071857457368))!#17282=CARTESIAN_POINT('Ctrl Pts',(0.379017137352406,-0.629921259842519, 3.80630627150443))!#17283=CARTESIAN_POINT('Ctrl Pts',(0.369262361760558,-0.629921259842519, 3.82105369875816))!#17284=CARTESIAN_POINT('Ctrl Pts',(0.348594584922561,-0.629921259842519, 3.84188808653618))!#17285=CARTESIAN_POINT('Ctrl Pts',(0.334059987434382,-0.629921259842519, 3.85169618256627))!#17286=CARTESIAN_POINT('Ctrl Pts',(0.307181006715685,-0.629921259842519, 3.86291481635596))!#17287=CARTESIAN_POINT('Ctrl Pts',(0.290104827883466,-0.629921259842519, 3.8663441902183))!#17288=CARTESIAN_POINT('Ctrl Pts',(0.275590551181102,-0.629921259842519, 3.8663441902183))!#17289=CARTESIAN_POINT('Ctrl Pts',(0.484517892952419,-0.629921259842519, 3.5069232445583))!#17290=CARTESIAN_POINT('Ctrl Pts',(0.437593153449127,-0.629921259842519, 3.64155533354596))!#17291=CARTESIAN_POINT('Ctrl Pts',(0.386926160365217,-0.629921259842519, 3.78660079087158))!#17292=CARTESIAN_POINT('Origin',(4.59074987404012E-16,-0.118110236220473, -2.75590551181102))!#17293=CARTESIAN_POINT('',(0.0982607176190595,-0.118110236220473,-3.73829211668322))!#17294=CARTESIAN_POINT('Ctrl Pts',(0.162723276918241,-0.118110236220473, -3.56108991868007))!#17295=CARTESIAN_POINT('Ctrl Pts',(0.131274452269222,-0.118110236220473, -3.64754236573061))!#17296=CARTESIAN_POINT('Ctrl Pts',(0.0982607176190592,-0.118110236220473, -3.73829211668322))!#17297=CARTESIAN_POINT('',(0.386926160365219,-0.118110236220473,-3.83682112107547))!#17298=CARTESIAN_POINT('Ctrl Pts',(0.386926160365219,-0.118110236220473, -3.83682112107547))!#17299=CARTESIAN_POINT('Ctrl Pts',(0.43754071227162,-0.118110236220473, -3.69382232995776))!#17300=CARTESIAN_POINT('Ctrl Pts',(0.48451789295242,-0.118110236220473, -3.56108991868007))!#17301=CARTESIAN_POINT('Ctrl Pts',(0.275590551181103,-0.118110236220473, -3.91554187347503))!#17302=CARTESIAN_POINT('Ctrl Pts',(0.290164797512764,-0.118110236220473, -3.91554187347503))!#17303=CARTESIAN_POINT('Ctrl Pts',(0.307317627553656,-0.118110236220473, -3.91212882697314))!#17304=CARTESIAN_POINT('Ctrl Pts',(0.334249411000403,-0.118110236220473, -3.90097036823774))!#17305=CARTESIAN_POINT('Ctrl Pts',(0.348792903322988,-0.118110236220473, -3.89124938421384))!#17306=CARTESIAN_POINT('Ctrl Pts',(0.369408911840965,-0.118110236220473, -3.87062762815385))!#17307=CARTESIAN_POINT('Ctrl Pts',(0.37912805598419,-0.118110236220473, -3.85607679572222))!#17308=CARTESIAN_POINT('Ctrl Pts',(0.385479660266087,-0.118110236220473, -3.84073848163584))!#17309=CARTESIAN_POINT('Ctrl Pts',(0.38622355209256,-0.118110236220473, -3.83880616549127))!#17310=CARTESIAN_POINT('Ctrl Pts',(0.386926160365219,-0.118110236220473, -3.83682112107547))!#17311=CARTESIAN_POINT('',(-0.386926160365218,-0.118110236220473,-3.83682112107547))!#17312=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365217,-0.118110236220473, -3.83682112107547))!#17313=CARTESIAN_POINT('Ctrl Pts',(-0.386223552092559,-0.118110236220473, -3.83880616549127))!#17314=CARTESIAN_POINT('Ctrl Pts',(-0.385479660266086,-0.118110236220473, -3.84073848163584))!#17315=CARTESIAN_POINT('Ctrl Pts',(-0.379128055984188,-0.118110236220473, -3.85607679572222))!#17316=CARTESIAN_POINT('Ctrl Pts',(-0.369408911840963,-0.118110236220473, -3.87062762815385))!#17317=CARTESIAN_POINT('Ctrl Pts',(-0.348792903322987,-0.118110236220473, -3.89124938421384))!#17318=CARTESIAN_POINT('Ctrl Pts',(-0.334249411000402,-0.118110236220473, -3.90097036823774))!#17319=CARTESIAN_POINT('Ctrl Pts',(-0.307317627553654,-0.118110236220473, -3.91212882697314))!#17320=CARTESIAN_POINT('Ctrl Pts',(-0.290164797512762,-0.118110236220473, -3.91554187347503))!#17321=CARTESIAN_POINT('Ctrl Pts',(-0.275590551181102,-0.118110236220473, -3.91554187347503))!#17322=CARTESIAN_POINT('',(-0.421800155809144,-0.118110236220473,-3.73829211668322))!#17323=CARTESIAN_POINT('Ctrl Pts',(-0.421800155809144,-0.118110236220473, -3.73829211668322))!#17324=CARTESIAN_POINT('Ctrl Pts',(-0.404589958798839,-0.118110236220473, -3.78691646465915))!#17325=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365218,-0.118110236220473, -3.83682112107547))!#17326=CARTESIAN_POINT('',(0.0700787401574814,-0.118110236220473,-3.73829211668322))!#17327=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17328=CARTESIAN_POINT('Origin',(0.386926160365218,-2.8388671322776E-17, -2.15303081197469E-17))!#17329=CARTESIAN_POINT('Origin',(0.435722026658819,-2.8388671322776E-17, -1.27217078984293E-17))!#17330=CARTESIAN_POINT('Origin',(-0.435722026658819,-2.83886713227759E-17, -1.70034260456316E-16))!#17331=CARTESIAN_POINT('',(-0.421800155809096,-3.74015748031496,-5.51695637611422E-16))!#17332=CARTESIAN_POINT('Origin',(-0.421800155809096,-3.08087003137985E-17, -9.36584489539474E-17))!#17333=CARTESIAN_POINT('Origin',(-0.386926160365218,-2.83886713227759E-17, -1.61225660234998E-16))!#17334=CARTESIAN_POINT('Origin',(-0.421800155809096,-3.08087003137985E-17, -9.36584489539474E-17))!#17335=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17336=CARTESIAN_POINT('Origin',(8.14428125533792E-16,0.118110236220472, -4.7244094488189))!#17337=CARTESIAN_POINT('',(0.0982607176190595,0.118110236220472,-3.73829211668322))!#17338=CARTESIAN_POINT('',(0.162723276918241,0.118110236220472,-3.56108991868007))!#17339=CARTESIAN_POINT('Ctrl Pts',(0.0982607176190592,0.118110236220472, -3.73829211668322))!#17340=CARTESIAN_POINT('Ctrl Pts',(0.131274452269602,0.118110236220472, -3.64754236572956))!#17341=CARTESIAN_POINT('Ctrl Pts',(0.162723276918241,0.118110236220472, -3.56108991868007))!#17342=CARTESIAN_POINT('',(-0.421800155809144,0.118110236220472,-3.73829211668322))!#17343=CARTESIAN_POINT('',(0.0700787401574814,0.118110236220472,-3.73829211668322))!#17344=CARTESIAN_POINT('',(-0.386926160365218,0.118110236220472,-3.83682112107547))!#17345=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365218,0.118110236220472, -3.83682112107547))!#17346=CARTESIAN_POINT('Ctrl Pts',(-0.404589958798839,0.118110236220472, -3.78691646465915))!#17347=CARTESIAN_POINT('Ctrl Pts',(-0.421800155809144,0.118110236220472, -3.73829211668322))!#17348=CARTESIAN_POINT('Ctrl Pts',(-0.275590551181102,0.118110236220472, -3.91554187347503))!#17349=CARTESIAN_POINT('Ctrl Pts',(-0.290164797512762,0.118110236220472, -3.91554187347503))!#17350=CARTESIAN_POINT('Ctrl Pts',(-0.307317627553654,0.118110236220472, -3.91212882697314))!#17351=CARTESIAN_POINT('Ctrl Pts',(-0.334249411000402,0.118110236220472, -3.90097036823774))!#17352=CARTESIAN_POINT('Ctrl Pts',(-0.348792903322987,0.118110236220472, -3.89124938421384))!#17353=CARTESIAN_POINT('Ctrl Pts',(-0.369408911840963,0.118110236220472, -3.87062762815385))!#17354=CARTESIAN_POINT('Ctrl Pts',(-0.379128055984188,0.118110236220472, -3.85607679572222))!#17355=CARTESIAN_POINT('Ctrl Pts',(-0.385479660266086,0.118110236220472, -3.84073848163584))!#17356=CARTESIAN_POINT('Ctrl Pts',(-0.386223552092558,0.118110236220472, -3.83880616549127))!#17357=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365217,0.118110236220472, -3.83682112107547))!#17358=CARTESIAN_POINT('',(0.386926160365219,0.118110236220472,-3.83682112107547))!#17359=CARTESIAN_POINT('Ctrl Pts',(0.386926160365219,0.118110236220472, -3.83682112107547))!#17360=CARTESIAN_POINT('Ctrl Pts',(0.38622355209256,0.118110236220472,-3.83880616549127))!#17361=CARTESIAN_POINT('Ctrl Pts',(0.385479660266087,0.118110236220472, -3.84073848163584))!#17362=CARTESIAN_POINT('Ctrl Pts',(0.37912805598419,0.118110236220472,-3.85607679572222))!#17363=CARTESIAN_POINT('Ctrl Pts',(0.369408911840965,0.118110236220472, -3.87062762815385))!#17364=CARTESIAN_POINT('Ctrl Pts',(0.348792903322988,0.118110236220472, -3.89124938421384))!#17365=CARTESIAN_POINT('Ctrl Pts',(0.334249411000403,0.118110236220472, -3.90097036823774))!#17366=CARTESIAN_POINT('Ctrl Pts',(0.307317627553656,0.118110236220472, -3.91212882697314))!#17367=CARTESIAN_POINT('Ctrl Pts',(0.290164797512764,0.118110236220472, -3.91554187347503))!#17368=CARTESIAN_POINT('Ctrl Pts',(0.275590551181103,0.118110236220472, -3.91554187347503))!#17369=CARTESIAN_POINT('',(0.48451789295242,0.118110236220472,-3.56108991868007))!#17370=CARTESIAN_POINT('Ctrl Pts',(0.48451789295242,0.118110236220472,-3.56108991868007))!#17371=CARTESIAN_POINT('Ctrl Pts',(0.43754071227162,0.118110236220472,-3.69382232995776))!#17372=CARTESIAN_POINT('Ctrl Pts',(0.386926160365219,0.118110236220472, -3.83682112107547))!#17373=CARTESIAN_POINT('',(6.04426388727086E-16,0.118110236220472,-3.56108991868007))!#17374=CARTESIAN_POINT('Origin',(-0.435722026658819,-2.83886713227759E-17, -1.70034260456316E-16))!#17375=CARTESIAN_POINT('',(-0.421800155809095,0.62992125984252,3.68672987672739))!#17376=CARTESIAN_POINT('Origin',(-0.421800155809096,-3.08087003137985E-17, -9.36584489539474E-17))!#17377=CARTESIAN_POINT('',(-0.386926160365219,0.62992125984252,3.78660079087158))!#17378=CARTESIAN_POINT('Ctrl Pts',(-0.421800155809095,0.62992125984252, 3.68672987672739))!#17379=CARTESIAN_POINT('Ctrl Pts',(-0.404596179880042,0.62992125984252, 3.73601645765023))!#17380=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365219,0.62992125984252, 3.78660079087158))!#17381=CARTESIAN_POINT('Origin',(-0.386926160365218,-2.83886713227759E-17, -1.61225660234998E-16))!#17382=CARTESIAN_POINT('Origin',(-5.35913799359373E-16,0.62992125984252, 2.75590551181102))!#17383=CARTESIAN_POINT('',(0.162723276918239,0.62992125984252,3.5069232445583))!#17384=CARTESIAN_POINT('',(0.0982607176190578,0.62992125984252,3.68672987672739))!#17385=CARTESIAN_POINT('Ctrl Pts',(0.162723276918239,0.62992125984252,3.5069232445583))!#17386=CARTESIAN_POINT('Ctrl Pts',(0.13129762380918,0.62992125984252,3.59464632283714))!#17387=CARTESIAN_POINT('Ctrl Pts',(0.0982607176190575,0.62992125984252, 3.68672987672739))!#17388=CARTESIAN_POINT('',(0.484517892952419,0.62992125984252,3.5069232445583))!#17389=CARTESIAN_POINT('',(-6.71487065485785E-16,0.62992125984252,3.5069232445583))!#17390=CARTESIAN_POINT('',(0.386926160365217,0.62992125984252,3.78660079087158))!#17391=CARTESIAN_POINT('Ctrl Pts',(0.386926160365217,0.62992125984252,3.78660079087158))!#17392=CARTESIAN_POINT('Ctrl Pts',(0.437593153449127,0.62992125984252,3.64155533354596))!#17393=CARTESIAN_POINT('Ctrl Pts',(0.484517892952419,0.62992125984252,3.5069232445583))!#17394=CARTESIAN_POINT('Ctrl Pts',(0.275590551181102,0.62992125984252,3.8663441902183))!#17395=CARTESIAN_POINT('Ctrl Pts',(0.290104827883466,0.62992125984252,3.8663441902183))!#17396=CARTESIAN_POINT('Ctrl Pts',(0.307181006715685,0.62992125984252,3.86291481635596))!#17397=CARTESIAN_POINT('Ctrl Pts',(0.334059987434382,0.62992125984252,3.85169618256627))!#17398=CARTESIAN_POINT('Ctrl Pts',(0.348594584922561,0.62992125984252,3.84188808653618))!#17399=CARTESIAN_POINT('Ctrl Pts',(0.369262361760558,0.62992125984252,3.82105369875816))!#17400=CARTESIAN_POINT('Ctrl Pts',(0.379017137352406,0.62992125984252,3.80630627150443))!#17401=CARTESIAN_POINT('Ctrl Pts',(0.385423165617748,0.62992125984252,3.79071857457368))!#17402=CARTESIAN_POINT('Ctrl Pts',(0.386197102634588,0.62992125984252,3.78868787963528))!#17403=CARTESIAN_POINT('Ctrl Pts',(0.386926160365217,0.62992125984252,3.78660079087158))!#17404=CARTESIAN_POINT('Ctrl Pts',(-0.386926160365219,0.62992125984252, 3.78660079087158))!#17405=CARTESIAN_POINT('Ctrl Pts',(-0.386197102634589,0.62992125984252, 3.78868787963528))!#17406=CARTESIAN_POINT('Ctrl Pts',(-0.385423165617749,0.62992125984252, 3.79071857457368))!#17407=CARTESIAN_POINT('Ctrl Pts',(-0.379017137352408,0.62992125984252, 3.80630627150443))!#17408=CARTESIAN_POINT('Ctrl Pts',(-0.36926236176056,0.62992125984252,3.82105369875816))!#17409=CARTESIAN_POINT('Ctrl Pts',(-0.348594584922562,0.62992125984252, 3.84188808653618))!#17410=CARTESIAN_POINT('Ctrl Pts',(-0.334059987434383,0.62992125984252, 3.85169618256627))!#17411=CARTESIAN_POINT('Ctrl Pts',(-0.307181006715686,0.62992125984252, 3.86291481635596))!#17412=CARTESIAN_POINT('Ctrl Pts',(-0.290104827883467,0.62992125984252, 3.8663441902183))!#17413=CARTESIAN_POINT('Ctrl Pts',(-0.275590551181103,0.62992125984252, 3.8663441902183))!#17414=CARTESIAN_POINT('',(0.0700787401574797,0.62992125984252,3.68672987672739))!#17415=CARTESIAN_POINT('Origin',(-3.84194059776808E-17,-2.8388671322776E-17, -9.13779841773727E-17))!#17416=CARTESIAN_POINT('Origin',(0.16272327691824,-2.8388671322776E-17, -6.20032768107465E-17))!#17417=CARTESIAN_POINT('Origin',(0.48451789295242,-2.8388671322776E-17, -3.91310767711165E-18))!#17418=CARTESIAN_POINT('Origin',(0.435722026658819,-2.8388671322776E-17, -1.27217078984293E-17))!#17419=CARTESIAN_POINT('Origin',(0.386926160365218,-2.8388671322776E-17, -2.15303081197469E-17))!#17420=CARTESIAN_POINT('Origin',(-0.275590551181102,-2.83886713227759E-17, -1.41127423515542E-16))!#17421=CARTESIAN_POINT('Origin',(0.275590551181102,-2.8388671322776E-17, -4.16285448392034E-17))!#17422=CARTESIAN_POINT('Origin',(0.0700787401574806,5.11862045128675E-18, 1.55606061719117E-17))!#17423=CARTESIAN_POINT('',(-0.472438997536207,-3.74015748031496,-5.62939719216579E-16))!#17424=CARTESIAN_POINT('',(0.0700787401574809,-3.74015748031496,-4.42476582485563E-16))!#17425=CARTESIAN_POINT('',(-0.472438997536207,3.74015748031496,-1.04902530559105E-16))!#17426=CARTESIAN_POINT('Origin',(-0.472438997536207,0.,-1.04902530559105E-16))!#17427=CARTESIAN_POINT('Origin',(-0.472438997536207,0.,-1.04902530559105E-16))!#17428=CARTESIAN_POINT('Origin',(0.0982607176190586,7.17705994189932E-18, 2.18182622233739E-17))!#17429=CARTESIAN_POINT('Origin',(0.0982607176190586,7.17705994189932E-18, 2.18182622233739E-17))!#17430=CARTESIAN_POINT('Origin',(1.08492502800103,7.92439964525553E-17, 2.40901749215768E-16))!#17431=CARTESIAN_POINT('',(1.65431699380158,0.649606299212598,-3.24265840471473))!#17432=CARTESIAN_POINT('',(1.80314960629921,0.885058768126757,-3.18645458944666))!#17433=CARTESIAN_POINT('Ctrl Pts',(1.65431699380158,0.649606299212597,-3.24265840471473))!#17434=CARTESIAN_POINT('Ctrl Pts',(1.67593779381985,0.676117800776635,-3.23734731847988))!#17435=CARTESIAN_POINT('Ctrl Pts',(1.69626821946645,0.704981760032586,-3.23121900832211))!#17436=CARTESIAN_POINT('Ctrl Pts',(1.73794197075328,0.769159042497419,-3.21658781841005))!#17437=CARTESIAN_POINT('Ctrl Pts',(1.75902686882577,0.80484166770859,-3.20787258640867))!#17438=CARTESIAN_POINT('Ctrl Pts',(1.78731752757316,0.855612040915274,-3.19452334705129))!#17439=CARTESIAN_POINT('Ctrl Pts',(1.79531858378438,0.870335102413697,-3.19054418466056))!#17440=CARTESIAN_POINT('Ctrl Pts',(1.80314960629921,0.885058768126759,-3.18645458944666))!#17441=CARTESIAN_POINT('',(1.80314960629921,-3.30708661417323,-4.62166170359572E-18))!#17442=CARTESIAN_POINT('Origin',(1.80314960629921,1.31703829589288E-16, 4.00379641951434E-16))!#17443=CARTESIAN_POINT('',(0.366700449702855,-3.30708661417323,-3.23577447174929E-16))!#17444=CARTESIAN_POINT('',(1.08492502800103,-3.30708661417323,-1.64099554439262E-16))!#17445=CARTESIAN_POINT('',(0.366700449702854,3.30708661417323,8.14238564801016E-17))!#17446=CARTESIAN_POINT('Origin',(0.366700449702855,2.67841633158229E-17, 8.14238564801017E-17))!#17447=CARTESIAN_POINT('',(0.366700449702854,-3.78217140339207E-16,3.30708661417323))!#17448=CARTESIAN_POINT('Origin',(0.366700449702855,2.67841633158229E-17, 8.14238564801017E-17))!#17449=CARTESIAN_POINT('Origin',(0.366700449702855,2.67841633158229E-17, 8.14238564801017E-17))!#17450=CARTESIAN_POINT('',(1.80314960629921,-2.73297474065743E-16,3.30708661417323))!#17451=CARTESIAN_POINT('Origin',(1.80314960629921,1.31703829589288E-16, 4.00379641951434E-16))!#17452=CARTESIAN_POINT('',(1.80314960629921,2.6258580662102,-2.01039580425413))!#17453=CARTESIAN_POINT('Origin',(1.80314960629921,1.31703829589288E-16, 4.00379641951434E-16))!#17454=CARTESIAN_POINT('',(1.49606299212598,2.68187332099952,-1.93503937007874))!#17455=CARTESIAN_POINT('Ctrl Pts',(1.80314960629921,2.6258580662102,-2.01039580425413))!#17456=CARTESIAN_POINT('Ctrl Pts',(1.78224802125791,2.63421508529925,-1.99948036862795))!#17457=CARTESIAN_POINT('Ctrl Pts',(1.76043697056619,2.64173556971812,-1.98951649526769))!#17458=CARTESIAN_POINT('Ctrl Pts',(1.69973803334394,2.65951342406138,-1.96574656295034))!#17459=CARTESIAN_POINT('Ctrl Pts',(1.65948248383708,2.66800338943281,-1.95414175046601))!#17460=CARTESIAN_POINT('Ctrl Pts',(1.5778152097585,2.67918276893326,-1.93878615566753))!#17461=CARTESIAN_POINT('Ctrl Pts',(1.53640288952277,2.68187332099952,-1.93503937007874))!#17462=CARTESIAN_POINT('Ctrl Pts',(1.49606299212598,2.68187332099952,-1.93503937007874))!#17463=CARTESIAN_POINT('',(1.33780899045039,0.649606299212598,-3.24265840471473))!#17464=CARTESIAN_POINT('Ctrl Pts',(1.49606299212598,2.68187332099952,-1.93503937007874))!#17465=CARTESIAN_POINT('Ctrl Pts',(1.4557230947292,2.68187332099952,-1.93503937007874))!#17466=CARTESIAN_POINT('Ctrl Pts',(1.41431077449347,2.67918276893326,-1.93878615566753))!#17467=CARTESIAN_POINT('Ctrl Pts',(1.33264350041489,2.66800338943281,-1.95414175046601))!#17468=CARTESIAN_POINT('Ctrl Pts',(1.29238795090803,2.65951342406138,-1.96574656295034))!#17469=CARTESIAN_POINT('Ctrl Pts',(1.21594333822007,2.63712388559685,-1.99568256105464))!#17470=CARTESIAN_POINT('Ctrl Pts',(1.17967147161752,2.62319347259663,-2.01404088266329))!#17471=CARTESIAN_POINT('Ctrl Pts',(1.11323300661767,2.59104070708764,-2.05524030865138))!#17472=CARTESIAN_POINT('Ctrl Pts',(1.08306404711771,2.57281175566327,-2.07807245480492))!#17473=CARTESIAN_POINT('Ctrl Pts',(1.01645825887905,2.52432302960317,-2.13698928228084))!#17474=CARTESIAN_POINT('Ctrl Pts',(0.981615590129836,2.4905326937476,-2.17651583157663))!#17475=CARTESIAN_POINT('Ctrl Pts',(0.923351154161877,2.4152149656724,-2.25980329543285))!#17476=CARTESIAN_POINT('Ctrl Pts',(0.899928805518073,2.3736762224713,-2.30355353771117))!#17477=CARTESIAN_POINT('Ctrl Pts',(0.864075841577708,2.28529504955321,-2.39126000289156))!#17478=CARTESIAN_POINT('Ctrl Pts',(0.851615026951752,2.23840112783022,-2.43525636278065))!#17479=CARTESIAN_POINT('Ctrl Pts',(0.835922773283255,2.14256049403636,-2.51998962125557))!#17480=CARTESIAN_POINT('Ctrl Pts',(0.832677165354331,2.09361485019767,-2.56072510348271))!#17481=CARTESIAN_POINT('Ctrl Pts',(0.832677165354331,1.97293224847069,-2.65573801156486))!#17482=CARTESIAN_POINT('Ctrl Pts',(0.840159161422053,1.89510885256531,-2.71197231418421))!#17483=CARTESIAN_POINT('Ctrl Pts',(0.867796222232724,1.73289361747558,-2.81838803320294))!#17484=CARTESIAN_POINT('Ctrl Pts',(0.887930019793432,1.64849923720007,-2.86857109826234))!#17485=CARTESIAN_POINT('Ctrl Pts',(0.937656974914294,1.4773605226665,-2.96034717670304))!#17486=CARTESIAN_POINT('Ctrl Pts',(0.967241404817132,1.39058046711319,-3.00196322987532))!#17487=CARTESIAN_POINT('Ctrl Pts',(1.03246655282034,1.21941373067474,-3.07547190199472))!#17488=CARTESIAN_POINT('Ctrl Pts',(1.06808439143579,1.13502114448093,-3.10737106948991))!#17489=CARTESIAN_POINT('Ctrl Pts',(1.13632788694833,0.987114361122845,-3.15713343873172))!#17490=CARTESIAN_POINT('Ctrl Pts',(1.17271802005949,0.913201489717984,-3.17938114328223))!#17491=CARTESIAN_POINT('Ctrl Pts',(1.2330991154262,0.80484166770859,-3.20787258640867))!#17492=CARTESIAN_POINT('Ctrl Pts',(1.25418401349869,0.769159042497418,-3.21658781841005))!#17493=CARTESIAN_POINT('Ctrl Pts',(1.29585776478552,0.704981760032586,-3.23121900832211))!#17494=CARTESIAN_POINT('Ctrl Pts',(1.31618819043212,0.676117800776637,-3.23734731847988))!#17495=CARTESIAN_POINT('Ctrl Pts',(1.33780899045039,0.649606299212599,-3.24265840471473))!#17496=CARTESIAN_POINT('',(1.08492502800103,0.649606299212598,-3.24265840471473))!#17497=CARTESIAN_POINT('Origin',(0.366700449702855,2.67841633158229E-17, 8.14238564801017E-17))!#17498=CARTESIAN_POINT('',(0.274211018523139,3.37184841108999,6.08870772740609E-17))!#17499=CARTESIAN_POINT('',(0.274211018523139,-3.92903692544993E-16,3.37184841108999))!#17500=CARTESIAN_POINT('Origin',(0.27421101852314,2.00286438401516E-17, 6.0887077274061E-17))!#17501=CARTESIAN_POINT('Origin',(0.366700449702854,-3.90270750567035E-16, 3.40551181102362))!#17502=CARTESIAN_POINT('',(0.27421101852314,-3.37184841108999,-3.52045259111084E-16))!#17503=CARTESIAN_POINT('Origin',(0.27421101852314,2.00286438401516E-17, 6.0887077274061E-17))!#17504=CARTESIAN_POINT('Origin',(0.27421101852314,2.00286438401516E-17, 6.0887077274061E-17))!#17505=CARTESIAN_POINT('Origin',(0.20718424941905,1.51329423713626E-17, 4.60041448089422E-17))!#17506=CARTESIAN_POINT('',(0.254793774572032,-3.4251968503937,-3.6289005291622E-16))!#17507=CARTESIAN_POINT('',(0.207184249419051,-3.55600294570248,-3.89480617712367E-16))!#17508=CARTESIAN_POINT('Origin',(0.254793774572032,0.,5.65755830122044E-17))!#17509=CARTESIAN_POINT('Origin',(2.39370078740157,0.,5.31508345647319E-16))!#17510=CARTESIAN_POINT('',(2.39370078740157,-0.661294291338584,2.63481586061958))!#17511=CARTESIAN_POINT('',(2.39370078740157,-0.661294291338584,-2.63481586061958))!#17512=CARTESIAN_POINT('Origin',(2.39370078740157,-0.31496062992126,5.31508345647319E-16))!#17513=CARTESIAN_POINT('',(2.39370078740157,-1.57841370693548E-16,2.71653543307087))!#17514=CARTESIAN_POINT('Origin',(2.39370078740157,1.74838271594513E-16, 5.31508345647319E-16))!#17515=CARTESIAN_POINT('Origin',(2.39370078740157,1.74838271594513E-16, 5.31508345647319E-16))!#17516=CARTESIAN_POINT('Origin',(3.87379003258806,-0.31496062992126,8.60154177348539E-16))!#17517=CARTESIAN_POINT('',(4.95275590551181,2.34251968503937,1.09973272832949E-15))!#17518=CARTESIAN_POINT('',(4.95275590551181,-2.97244094488189,7.74285252178122E-16))!#17519=CARTESIAN_POINT('Origin',(4.95275590551181,-0.31496062992126,1.09973272832949E-15))!#17520=CARTESIAN_POINT('',(2.39370078740157,-2.97244094488189,2.06060869495955E-16))!#17521=CARTESIAN_POINT('',(3.87379003258806,-2.97244094488189,5.34706701197176E-16))!#17522=CARTESIAN_POINT('Origin',(2.39370078740157,-0.31496062992126,5.31508345647319E-16))!#17523=CARTESIAN_POINT('Origin',(2.39370078740157,-0.31496062992126,5.31508345647319E-16))!#17524=CARTESIAN_POINT('Origin',(4.95275590551181,-0.31496062992126,1.09973272832949E-15))!#17525=CARTESIAN_POINT('Origin',(2.39370078740157,2.34251968503937,5.31508345647319E-16))!#17526=CARTESIAN_POINT('Origin',(2.39370078740157,1.74838271594513E-16, 5.31508345647319E-16))!#17527=CARTESIAN_POINT('Origin',(1.80314960629921,1.31703829589288E-16, 4.00379641951434E-16))!#17528=CARTESIAN_POINT('Origin',(1.80314960629921,-2.00975812698773E-16, 2.71653543307087))!#17529=CARTESIAN_POINT('Ctrl Pts',(1.80314960629921,0.88505876812677,-3.18645458944666))!#17530=CARTESIAN_POINT('Ctrl Pts',(1.88071739920867,1.03089952528658,-3.14594635772667))!#17531=CARTESIAN_POINT('Ctrl Pts',(1.9597583673323,1.15482101360593,-3.08484711431163))!#17532=CARTESIAN_POINT('Ctrl Pts',(2.07802808567259,1.39681182772861,-2.92887654382443))!#17533=CARTESIAN_POINT('Ctrl Pts',(2.11918722616926,1.50713955569535,-2.84547670656867))!#17534=CARTESIAN_POINT('Ctrl Pts',(2.16733372872848,1.78202223122665,-2.64311647003048))!#17535=CARTESIAN_POINT('Ctrl Pts',(2.16136329657633,1.91657150730704,-2.54837341013581))!#17536=CARTESIAN_POINT('Ctrl Pts',(2.13149091224514,2.15036637512123,-2.38586797853233))!#17537=CARTESIAN_POINT('Ctrl Pts',(2.09444851177521,2.28264303332536,-2.29502492863801))!#17538=CARTESIAN_POINT('Ctrl Pts',(2.00478947078678,2.45155288534436,-2.16920705081208))!#17539=CARTESIAN_POINT('Ctrl Pts',(1.96433428207072,2.50938231492365,-2.12397765118339))!#17540=CARTESIAN_POINT('Ctrl Pts',(1.88158725107087,2.58423687421251,-2.05701613223946))!#17541=CARTESIAN_POINT('Ctrl Pts',(1.84482196697891,2.60919632916976,-2.03215836290657))!#17542=CARTESIAN_POINT('Ctrl Pts',(1.80314960629921,2.62585806621019,-2.01039580425413))!#17543=CARTESIAN_POINT('Origin',(1.49606299212598,8.46456692913386,-2.59842519685039))!#17544=CARTESIAN_POINT('',(1.49606299212599,8.46456692913386,-3.60236220472441))!#17545=CARTESIAN_POINT('',(1.49606299212598,8.46456692913386,-1.59448818897638))!#17546=CARTESIAN_POINT('Origin',(1.49606299212598,8.46456692913386,-2.59842519685039))!#17547=CARTESIAN_POINT('Origin',(1.49606299212598,8.46456692913386,-2.59842519685039))!#17548=CARTESIAN_POINT('Origin',(1.49606299212598,5.65708661417323,-2.59842519685039))!#17549=CARTESIAN_POINT('',(1.49606299212598,2.8496062992126,-1.93503937007874))!#17550=CARTESIAN_POINT('',(1.49606299212598,5.65708661417323,-1.76476377952756))!#17551=CARTESIAN_POINT('',(1.49606299212598,2.8496062992126,-3.26181102362205))!#17552=CARTESIAN_POINT('Origin',(1.49606299212598,2.8496062992126,-2.59842519685039))!#17553=CARTESIAN_POINT('Origin',(1.49606299212598,2.8496062992126,-2.59842519685039))!#17554=CARTESIAN_POINT('Origin',(1.49606299212598,1.7496062992126,-2.59842519685039))!#17555=CARTESIAN_POINT('',(1.49606299212598,1.7496062992126,-1.93503937007874))!#17556=CARTESIAN_POINT('Origin',(1.49606299212598,0.649606299212598,-2.59842519685039))!#17557=CARTESIAN_POINT('Origin',(1.49606299212598,0.649606299212598,-3.26181102362205))!#17558=CARTESIAN_POINT('Origin',(7.51935039370079,-0.31496062992126,1.66963118746217E-15))!#17559=CARTESIAN_POINT('',(6.06232283464567,-1.56496062992126,1.19302522885347E-15))!#17560=CARTESIAN_POINT('',(7.51935039370079,-1.56496062992126,1.51655033756875E-15))!#17561=CARTESIAN_POINT('',(6.06232283464567,0.93503937007874,1.34610607874689E-15))!#17562=CARTESIAN_POINT('Origin',(6.06232283464567,-0.31496062992126,1.34610607874689E-15))!#17563=CARTESIAN_POINT('',(6.06232283464567,-0.31496062992126,1.25))!#17564=CARTESIAN_POINT('Origin',(6.06232283464567,-0.31496062992126,1.34610607874689E-15))!#17565=CARTESIAN_POINT('Origin',(6.06232283464567,-0.31496062992126,1.34610607874689E-15))!#17566=CARTESIAN_POINT('Origin',(6.06232283464567,-0.31496062992126,1.34610607874689E-15))!#17567=CARTESIAN_POINT('',(5.90484251968504,1.09251968503937,1.31113842442799E-15))!#17568=CARTESIAN_POINT('',(5.90484251968504,-0.31496062992126,1.40748031496063))!#17569=CARTESIAN_POINT('Origin',(5.90484251968504,-0.31496062992126,1.31113842442799E-15))!#17570=CARTESIAN_POINT('Origin',(6.06232283464567,-0.31496062992126,1.40748031496063))!#17571=CARTESIAN_POINT('Origin',(5.90484251968504,-0.31496062992126,1.31113842442799E-15))!#17572=CARTESIAN_POINT('Origin',(5.90484251968504,1.09251968503937,1.31113842442799E-15))!#17573=CARTESIAN_POINT('',(5.90484251968504,1.43445063410831,1.31113842442799E-15))!#17574=CARTESIAN_POINT('',(5.90484251968504,-0.31496062992126,1.74941126402957))!#17575=CARTESIAN_POINT('Origin',(5.90484251968504,-0.31496062992126,1.31113842442799E-15))!#17576=CARTESIAN_POINT('Origin',(5.90484251968504,-0.31496062992126,1.31113842442799E-15))!#17577=CARTESIAN_POINT('Origin',(6.10169291338583,-0.31496062992126,1.35484799232662E-15))!#17578=CARTESIAN_POINT('',(5.92413389487019,1.51943811815107,1.31542197020944E-15))!#17579=CARTESIAN_POINT('',(5.92413389487019,-0.31496062992126,1.83439874807234))!#17580=CARTESIAN_POINT('Origin',(5.92413389487019,-0.31496062992126,1.31542197020944E-15))!#17581=CARTESIAN_POINT('Origin',(6.10169291338583,-0.31496062992126,1.74941126402957))!#17582=CARTESIAN_POINT('',(5.92413389487019,-2.14935937799359,1.09077291469077E-15))!#17583=CARTESIAN_POINT('Origin',(5.92413389487019,-0.31496062992126,1.31542197020944E-15))!#17584=CARTESIAN_POINT('Origin',(5.92413389487019,-0.31496062992126,1.31542197020944E-15))!#17585=CARTESIAN_POINT('Origin',(5.97013779527559,-0.31496062992126,1.32563688809997E-15))!#17586=CARTESIAN_POINT('',(6.01614169568099,1.71166424405365,1.33585180599049E-15))!#17587=CARTESIAN_POINT('',(6.01614169568099,-0.31496062992126,2.02662487397491))!#17588=CARTESIAN_POINT('Origin',(6.01614169568099,-0.31496062992126,1.33585180599049E-15))!#17589=CARTESIAN_POINT('',(6.01614169568099,-2.34158550389617,1.08766183949192E-15))!#17590=CARTESIAN_POINT('Origin',(6.01614169568099,-0.31496062992126,1.33585180599049E-15))!#17591=CARTESIAN_POINT('',(5.97013779527559,-2.24547244094488,1.08921737709134E-15))!#17592=CARTESIAN_POINT('Origin',(6.01614169568099,-0.31496062992126,1.33585180599049E-15))!#17593=CARTESIAN_POINT('Origin',(5.83858267716536,-0.31496062992126,1.29642578387331E-15))!#17594=CARTESIAN_POINT('',(6.03543307086614,-0.31496062992126,2.11161235801767))!#17595=CARTESIAN_POINT('Origin',(5.83858267716535,-0.31496062992126,2.11161235801767))!#17596=CARTESIAN_POINT('',(6.03543307086614,1.79665172809641,1.34013535177194E-15))!#17597=CARTESIAN_POINT('Origin',(6.03543307086614,-0.31496062992126,1.34013535177194E-15))!#17598=CARTESIAN_POINT('Origin',(6.03543307086614,-0.31496062992126,1.34013535177194E-15))!#17599=CARTESIAN_POINT('Origin',(6.03543307086614,1.79665172809641,1.34013535177194E-15))!#17600=CARTESIAN_POINT('',(6.03543307086614,2.18051181102362,1.34013535177194E-15))!#17601=CARTESIAN_POINT('',(6.03543307086614,-2.81043307086614,1.03452811805558E-15))!#17602=CARTESIAN_POINT('Origin',(6.03543307086614,-0.31496062992126,1.34013535177194E-15))!#17603=CARTESIAN_POINT('Origin',(6.03543307086614,-0.31496062992126,1.34013535177194E-15))!#17604=CARTESIAN_POINT('Origin',(5.96654382278603,-0.31496062992126,1.32483886589841E-15))!#17605=CARTESIAN_POINT('',(5.96211713400511,-3.01186695187799,9.93580174058466E-16))!#17606=CARTESIAN_POINT('',(5.96654382278603,-2.99970472440945,9.96052539713939E-16))!#17607=CARTESIAN_POINT('',(5.9621171340051,-0.433070866141726,-2.69431877874728))!#17608=CARTESIAN_POINT('Origin',(5.96211713400511,-0.314960629921252,-8.53947230817376E-15))!#17609=CARTESIAN_POINT('',(5.89765457470593,-0.433070866141727,-2.87158779981267))!#17610=CARTESIAN_POINT('Ctrl Pts',(5.9621171340051,-0.433070866141726,-2.69431877874728))!#17611=CARTESIAN_POINT('Ctrl Pts',(5.93091239117442,-0.433070866141726, -2.78013544173841))!#17612=CARTESIAN_POINT('Ctrl Pts',(5.89765457470592,-0.433070866141727, -2.87158779981268))!#17613=CARTESIAN_POINT('',(5.89765457470592,-0.196850393700782,-2.87158779981267))!#17614=CARTESIAN_POINT('Origin',(5.89765457470592,-0.31496062992126,1.30954238002488E-15))!#17615=CARTESIAN_POINT('',(5.9621171340051,-0.196850393700781,-2.69431877874728))!#17616=CARTESIAN_POINT('Ctrl Pts',(5.89765457470592,-0.196850393700782, -2.87158779981267))!#17617=CARTESIAN_POINT('Ctrl Pts',(5.93091239122071,-0.196850393700782, -2.78013544161108))!#17618=CARTESIAN_POINT('Ctrl Pts',(5.9621171340051,-0.196850393700781,-2.69431877874728))!#17619=CARTESIAN_POINT('',(5.96211713400511,0.314960629921269,2.62230869956392))!#17620=CARTESIAN_POINT('Origin',(5.96211713400511,-0.314960629921252,-8.53947230817376E-15))!#17621=CARTESIAN_POINT('',(5.89765457470592,0.314960629921269,2.80413368553132))!#17622=CARTESIAN_POINT('Ctrl Pts',(5.96211713400511,0.314960629921269,2.62230869956392))!#17623=CARTESIAN_POINT('Ctrl Pts',(5.93096583485758,0.314960629921269,2.71033092424778))!#17624=CARTESIAN_POINT('Ctrl Pts',(5.89765457470592,0.314960629921269,2.80413368553132))!#17625=CARTESIAN_POINT('',(5.89765457470592,-0.94488188976377,2.80413368553132))!#17626=CARTESIAN_POINT('Origin',(5.89765457470592,-0.31496062992126,1.30954238002488E-15))!#17627=CARTESIAN_POINT('',(5.96211713400511,-0.94488188976377,2.62230869956392))!#17628=CARTESIAN_POINT('Ctrl Pts',(5.89765457470592,-0.94488188976377,2.80413368553133))!#17629=CARTESIAN_POINT('Ctrl Pts',(5.93096583485789,-0.94488188976377,2.71033092424691))!#17630=CARTESIAN_POINT('Ctrl Pts',(5.96211713400511,-0.94488188976377,2.62230869956392))!#17631=CARTESIAN_POINT('Origin',(5.96211713400511,-0.314960629921252,-8.53947230817376E-15))!#17632=CARTESIAN_POINT('Origin',(0.149921736812723,0.,3.32893128202557E-17))!#17633=CARTESIAN_POINT('',(0.201582756006388,3.45628251291375,4.47603634171375E-17))!#17634=CARTESIAN_POINT('',(0.201582756006389,-4.23272531638879E-16,-3.45628251291375))!#17635=CARTESIAN_POINT('Origin',(0.201582756006388,0.,4.47603634171375E-17))!#17636=CARTESIAN_POINT('',(0.201582756006388,-3.45628251291375,4.68032895056017E-16))!#17637=CARTESIAN_POINT('Origin',(0.201582756006388,0.,4.47603634171375E-17))!#17638=CARTESIAN_POINT('',(0.149921736812723,-3.59821999661435,4.73944172968433E-16))!#17639=CARTESIAN_POINT('Origin',(0.201582756006388,0.,4.47603634171375E-17))!#17640=CARTESIAN_POINT('Origin',(0.292282491468244,0.,6.48997503445701E-17))!#17641=CARTESIAN_POINT('',(0.245977682972652,-3.4251968503937,4.74083653364461E-16))!#17642=CARTESIAN_POINT('',(0.292282491468244,-3.4251968503937,4.84365386272994E-16))!#17643=CARTESIAN_POINT('',(0.245977682972652,-4.19465635928424E-16,-3.4251968503937))!#17644=CARTESIAN_POINT('Origin',(0.245977682972652,0.,5.46180174360371E-17))!#17645=CARTESIAN_POINT('',(0.245977682972652,3.4251968503937,5.46180174360371E-17))!#17646=CARTESIAN_POINT('Origin',(0.245977682972652,0.,5.46180174360371E-17))!#17647=CARTESIAN_POINT('Origin',(0.245977682972652,0.,5.46180174360371E-17))!#17648=CARTESIAN_POINT('Origin',(0.245977682972652,0.,5.46180174360371E-17))!#17649=CARTESIAN_POINT('Origin',(0.245977682972653,-4.25251368837782E-16, -3.47244094488189))!#17650=CARTESIAN_POINT('Origin',(4.99476327945306,-0.314960629921259,1.10906023908021E-15))!#17651=CARTESIAN_POINT('',(5.03677065339432,-2.92393500757603,7.98880537765695E-16))!#17652=CARTESIAN_POINT('',(4.99476327945306,-2.94818797622896,7.86582894971909E-16))!#17653=CARTESIAN_POINT('',(5.03677065339431,-0.31496062992126,2.60897437765477))!#17654=CARTESIAN_POINT('Origin',(5.03677065339432,-0.314960629921259,1.11838774983093E-15))!#17655=CARTESIAN_POINT('',(5.03677065339432,2.29401374773351,1.11838774983093E-15))!#17656=CARTESIAN_POINT('Origin',(5.03677065339432,-0.314960629921259,1.11838774983093E-15))!#17657=CARTESIAN_POINT('Origin',(5.03677065339432,-0.314960629921259,1.11838774983093E-15))!#17658=CARTESIAN_POINT('Origin',(5.07614073213447,-0.314960629921259,1.12712966341066E-15))!#17659=CARTESIAN_POINT('',(5.07614073213447,2.28346456692913,1.12712966341066E-15))!#17660=CARTESIAN_POINT('',(5.07614073213447,-0.31496062992126,2.59842519685039))!#17661=CARTESIAN_POINT('Origin',(5.07614073213447,-0.314960629921259,1.12712966341066E-15))!#17662=CARTESIAN_POINT('',(5.07614073213447,-2.91338582677165,8.08914353395991E-16))!#17663=CARTESIAN_POINT('Origin',(5.07614073213447,-0.314960629921259,1.12712966341066E-15))!#17664=CARTESIAN_POINT('Origin',(5.07614073213447,-0.314960629921259,1.12712966341066E-15))!#17665=CARTESIAN_POINT('Origin',(5.07614073213447,-0.31496062992126,2.67716535433071))!#17666=CARTESIAN_POINT('Origin',(5.21804646532479,-0.314960629921259,1.1586390658735E-15))!#17667=CARTESIAN_POINT('',(5.35995219851511,-2.91338582677165,8.71933158321673E-16))!#17668=CARTESIAN_POINT('',(5.21804646532479,-2.91338582677165,8.40423755858832E-16))!#17669=CARTESIAN_POINT('',(5.3599521985151,-0.31496062992126,2.59842519685039))!#17670=CARTESIAN_POINT('Origin',(5.35995219851511,-0.314960629921259,1.19014846833634E-15))!#17671=CARTESIAN_POINT('',(5.35995219851511,2.28346456692913,1.19014846833634E-15))!#17672=CARTESIAN_POINT('Origin',(5.35995219851511,-0.314960629921259,1.19014846833634E-15))!#17673=CARTESIAN_POINT('Origin',(5.35995219851511,-0.314960629921259,1.19014846833634E-15))!#17674=CARTESIAN_POINT('Origin',(5.35995219851511,-0.314960629921259,1.19014846833634E-15))!#17675=CARTESIAN_POINT('',(5.43394374345888,2.33527400446255,1.20657789170117E-15))!#17676=CARTESIAN_POINT('',(5.43394374345888,-0.31496062992126,2.65023463438381))!#17677=CARTESIAN_POINT('Origin',(5.43394374345888,-0.314960629921259,1.20657789170117E-15))!#17678=CARTESIAN_POINT('',(5.43394374345888,-2.96519526430506,8.82017755502414E-16))!#17679=CARTESIAN_POINT('Origin',(5.43394374345888,-0.314960629921259,1.20657789170117E-15))!#17680=CARTESIAN_POINT('Origin',(5.43394374345888,-0.314960629921259,1.20657789170117E-15))!#17681=CARTESIAN_POINT('Origin',(5.3599521985151,-0.31496062992126,2.67716535433071))!#17682=CARTESIAN_POINT('Origin',(5.81889763779528,2.55905511811024,1.29205482708345E-15))!#17683=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141727,-2.87158779981267))!#17684=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141727,-2.86173692957206))!#17685=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141726,-0.98425196850394))!#17686=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-2.86173692957206))!#17687=CARTESIAN_POINT('Origin',(5.81889763779528,-0.31496062992126,1.29205482708345E-15))!#17688=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-2.87158779981267))!#17689=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700781,-1.96850393700788))!#17690=CARTESIAN_POINT('Origin',(5.81889763779528,-0.31496062992126,1.29205482708345E-15))!#17691=CARTESIAN_POINT('Origin',(5.81889763779528,2.55905511811024,1.29205482708345E-15))!#17692=CARTESIAN_POINT('',(5.81889763779528,-0.94488188976377,2.79404500471539))!#17693=CARTESIAN_POINT('',(5.81889763779528,-0.94488188976377,2.80413368553132))!#17694=CARTESIAN_POINT('',(5.81889763779528,-0.944881889763771,1.96850393700787))!#17695=CARTESIAN_POINT('',(5.81889763779528,0.314960629921269,2.80413368553132))!#17696=CARTESIAN_POINT('Origin',(5.81889763779528,-0.31496062992126,1.29205482708345E-15))!#17697=CARTESIAN_POINT('',(5.81889763779528,0.314960629921269,2.79404500471538))!#17698=CARTESIAN_POINT('',(5.81889763779528,0.314960629921268,0.984251968503934))!#17699=CARTESIAN_POINT('Origin',(5.81889763779528,-0.31496062992126,1.29205482708345E-15))!#17700=CARTESIAN_POINT('Origin',(5.66535433070866,-0.31496062992126,1.25796136412252E-15))!#17701=CARTESIAN_POINT('',(5.51181102362205,-0.433070866141727,-2.86173692957206))!#17702=CARTESIAN_POINT('',(5.66535433070866,-0.433070866141727,-2.86173692957206))!#17703=CARTESIAN_POINT('',(5.51181102362205,-0.196850393700782,-2.86173692957206))!#17704=CARTESIAN_POINT('Origin',(5.51181102362205,-0.31496062992126,1.22386790116159E-15))!#17705=CARTESIAN_POINT('',(5.66535433070866,-0.196850393700782,-2.86173692957206))!#17706=CARTESIAN_POINT('Origin',(5.66535433070866,-0.31496062992126,1.25796136412252E-15))!#17707=CARTESIAN_POINT('',(5.51181102362205,-0.944881889763771,2.79404500471539))!#17708=CARTESIAN_POINT('',(5.66535433070866,-0.944881889763771,2.79404500471539))!#17709=CARTESIAN_POINT('',(5.51181102362205,0.314960629921269,2.79404500471538))!#17710=CARTESIAN_POINT('',(5.66535433070866,0.314960629921269,2.79404500471538))!#17711=CARTESIAN_POINT('Origin',(5.51181102362205,-0.31496062992126,1.22386790116159E-15))!#17712=CARTESIAN_POINT('Origin',(5.8582761062506,-0.31496062992126,1.30079860355417E-15))!#17713=CARTESIAN_POINT('',(5.8582761062506,-0.433070866141727,-2.87158779981267))!#17714=CARTESIAN_POINT('',(5.8582761062506,-0.196850393700782,-2.87158779981267))!#17715=CARTESIAN_POINT('Origin',(5.8582761062506,-0.31496062992126,1.30079860355417E-15))!#17716=CARTESIAN_POINT('',(5.8582761062506,-0.94488188976377,2.80413368553132))!#17717=CARTESIAN_POINT('',(5.8582761062506,0.314960629921269,2.80413368553132))!#17718=CARTESIAN_POINT('Origin',(5.48833880940612,-0.31496062992126,1.2186560226293E-15))!#17719=CARTESIAN_POINT('',(5.4509308485184,-0.433070866141727,-2.69431877874728))!#17720=CARTESIAN_POINT('Ctrl Pts',(5.51181102362205,-0.433070866141727, -2.86173692957206))!#17721=CARTESIAN_POINT('Ctrl Pts',(5.48045370198398,-0.433070866141727, -2.77551005086067))!#17722=CARTESIAN_POINT('Ctrl Pts',(5.4509308485184,-0.433070866141727,-2.69431877874728))!#17723=CARTESIAN_POINT('',(5.4509308485184,-3.01186695187799,8.80074017254477E-16))!#17724=CARTESIAN_POINT('Origin',(5.4509308485184,-0.314960629921253,-8.65297846497775E-15))!#17725=CARTESIAN_POINT('',(5.48833880940612,-3.11464447972486,8.75793636100647E-16))!#17726=CARTESIAN_POINT('',(5.45093084851839,-0.944881889763771,2.62230869956392))!#17727=CARTESIAN_POINT('Origin',(5.4509308485184,-0.314960629921253,-8.65297846497775E-15))!#17728=CARTESIAN_POINT('Ctrl Pts',(5.45093084851839,-0.944881889763771, 2.62230869956392))!#17729=CARTESIAN_POINT('Ctrl Pts',(5.48040577233654,-0.944881889763771, 2.70559409817839))!#17730=CARTESIAN_POINT('Ctrl Pts',(5.51181102362205,-0.944881889763771, 2.79404500471539))!#17731=CARTESIAN_POINT('',(5.4509308485184,0.314960629921268,2.62230869956392))!#17732=CARTESIAN_POINT('Ctrl Pts',(5.51181102362205,0.314960629921269,2.79404500471538))!#17733=CARTESIAN_POINT('Ctrl Pts',(5.4804057723357,0.314960629921268,2.70559409817604))!#17734=CARTESIAN_POINT('Ctrl Pts',(5.4509308485184,0.314960629921268,2.62230869956392))!#17735=CARTESIAN_POINT('',(5.4509308485184,-0.196850393700782,-2.69431877874728))!#17736=CARTESIAN_POINT('Origin',(5.4509308485184,-0.314960629921253,-8.65297846497775E-15))!#17737=CARTESIAN_POINT('Ctrl Pts',(5.4509308485184,-0.196850393700782,-2.69431877874728))!#17738=CARTESIAN_POINT('Ctrl Pts',(5.4804536991025,-0.196850393700782,-2.77551004293624))!#17739=CARTESIAN_POINT('Ctrl Pts',(5.51181102362205,-0.196850393700782, -2.86173692957206))!#17740=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17741=CARTESIAN_POINT('',(6.20582379816049,-0.196850393700781,-2.97014942939144))!#17742=CARTESIAN_POINT('',(6.09448818897638,-0.196850393700782,-3.04889424078833))!#17743=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.196850393700782, -2.97014942939144))!#17744=CARTESIAN_POINT('Ctrl Pts',(6.20512055052377,-0.196850393700782, -2.9721369090093))!#17745=CARTESIAN_POINT('Ctrl Pts',(6.20437593419381,-0.196850393700781, -2.97407157298398))!#17746=CARTESIAN_POINT('Ctrl Pts',(6.19802303378824,-0.196850393700782, -2.98941578885194))!#17747=CARTESIAN_POINT('Ctrl Pts',(6.1883030510134,-0.196850393700782,-3.00397125073691))!#17748=CARTESIAN_POINT('Ctrl Pts',(6.16768582519203,-0.196850393700782, -3.0245979787329))!#17749=CARTESIAN_POINT('Ctrl Pts',(6.1531425547749,-0.196850393700782,-3.03432098030493))!#17750=CARTESIAN_POINT('Ctrl Pts',(6.12621203578506,-0.196850393700782, -3.04548082254031))!#17751=CARTESIAN_POINT('Ctrl Pts',(6.10906101612928,-0.196850393700782, -3.04889424078833))!#17752=CARTESIAN_POINT('Ctrl Pts',(6.09448818897638,-0.196850393700782, -3.04889424078833))!#17753=CARTESIAN_POINT('',(6.09448818897638,0.913348487692481,2.79302037783457))!#17754=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17755=CARTESIAN_POINT('',(6.09448818897638,0.472440944881897,2.94783053777006))!#17756=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17757=CARTESIAN_POINT('',(6.09448818897638,0.314960629921269,2.98544893203863))!#17758=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17759=CARTESIAN_POINT('',(6.20582379816049,0.314960629921269,2.90498483080594))!#17760=CARTESIAN_POINT('Ctrl Pts',(6.09448818897638,0.314960629921269,2.98544893203863))!#17761=CARTESIAN_POINT('Ctrl Pts',(6.10896072769385,0.314960629921269,2.98544893203863))!#17762=CARTESIAN_POINT('Ctrl Pts',(6.1259836229903,0.314960629921269,2.98200867935846))!#17763=CARTESIAN_POINT('Ctrl Pts',(6.15282534982009,0.314960629921269,2.97074929033219))!#17764=CARTESIAN_POINT('Ctrl Pts',(6.16735299615312,0.314960629921269,2.96088147663329))!#17765=CARTESIAN_POINT('Ctrl Pts',(6.18805662101834,0.314960629921269,2.93989893186662))!#17766=CARTESIAN_POINT('Ctrl Pts',(6.19783587634021,0.314960629921269,2.92501323304576))!#17767=CARTESIAN_POINT('Ctrl Pts',(6.20428037429792,0.314960629921269,2.9092481281919))!#17768=CARTESIAN_POINT('Ctrl Pts',(6.20507586500553,0.314960629921269,2.90714601418072))!#17769=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,0.314960629921269,2.90498483080594))!#17770=CARTESIAN_POINT('Origin',(6.20582379816049,-0.314960629921252,-8.48535855821378E-15))!#17771=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17772=CARTESIAN_POINT('',(5.54330708661417,-0.196850393700782,-3.04889424078833))!#17773=CARTESIAN_POINT('',(5.43197147743006,-0.196850393700782,-2.97014942939144))!#17774=CARTESIAN_POINT('Ctrl Pts',(5.54330708661417,-0.196850393700782, -3.04889424078833))!#17775=CARTESIAN_POINT('Ctrl Pts',(5.52873425946127,-0.196850393700782, -3.04889424078833))!#17776=CARTESIAN_POINT('Ctrl Pts',(5.51158323980549,-0.196850393700782, -3.04548082254031))!#17777=CARTESIAN_POINT('Ctrl Pts',(5.48465272081566,-0.196850393700782, -3.03432098030493))!#17778=CARTESIAN_POINT('Ctrl Pts',(5.47010945039852,-0.196850393700782, -3.0245979787329))!#17779=CARTESIAN_POINT('Ctrl Pts',(5.44949222457715,-0.196850393700782, -3.00397125073691))!#17780=CARTESIAN_POINT('Ctrl Pts',(5.43977224180231,-0.196850393700782, -2.98941578885194))!#17781=CARTESIAN_POINT('Ctrl Pts',(5.43341934139674,-0.196850393700782, -2.97407157298398))!#17782=CARTESIAN_POINT('Ctrl Pts',(5.43267472506678,-0.196850393700782, -2.9721369090093))!#17783=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.196850393700782, -2.97014942939144))!#17784=CARTESIAN_POINT('',(5.43197147743006,0.314960629921269,2.90498483080594))!#17785=CARTESIAN_POINT('Origin',(5.43197147743006,-0.314960629921253,-8.65718829104069E-15))!#17786=CARTESIAN_POINT('',(5.54330708661417,0.314960629921269,2.98544893203863))!#17787=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,0.314960629921269,2.90498483080594))!#17788=CARTESIAN_POINT('Ctrl Pts',(5.43271941058502,0.314960629921269,2.90714601418072))!#17789=CARTESIAN_POINT('Ctrl Pts',(5.43351490129263,0.314960629921269,2.9092481281919))!#17790=CARTESIAN_POINT('Ctrl Pts',(5.43995939925034,0.314960629921269,2.92501323304576))!#17791=CARTESIAN_POINT('Ctrl Pts',(5.44973865457221,0.314960629921269,2.93989893186662))!#17792=CARTESIAN_POINT('Ctrl Pts',(5.47044227943743,0.314960629921269,2.96088147663329))!#17793=CARTESIAN_POINT('Ctrl Pts',(5.48496992577045,0.314960629921269,2.97074929033219))!#17794=CARTESIAN_POINT('Ctrl Pts',(5.51181165260025,0.314960629921269,2.98200867935846))!#17795=CARTESIAN_POINT('Ctrl Pts',(5.5288345478967,0.314960629921269,2.98544893203863))!#17796=CARTESIAN_POINT('Ctrl Pts',(5.54330708661417,0.314960629921269,2.98544893203863))!#17797=CARTESIAN_POINT('',(5.54330708661417,0.472440944881897,2.94783053777006))!#17798=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17799=CARTESIAN_POINT('',(5.54330708661418,0.913348487692481,2.79302037783457))!#17800=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17801=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17802=CARTESIAN_POINT('Origin',(6.25461966445409,-0.314960629921252,-8.47452369936064E-15))!#17803=CARTESIAN_POINT('',(6.30341553074769,-0.196850393700781,-2.69431877874728))!#17804=CARTESIAN_POINT('Ctrl Pts',(6.30341553074769,-0.196850393700781, -2.69431877874728))!#17805=CARTESIAN_POINT('Ctrl Pts',(6.25699577654705,-0.196850393700781, -2.82553001122531))!#17806=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.196850393700781, -2.97014942939144))!#17807=CARTESIAN_POINT('',(6.30341553074769,0.314960629921269,2.62230869956392))!#17808=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,0.314960629921269,2.90498483080594))!#17809=CARTESIAN_POINT('Ctrl Pts',(6.25711517634425,0.314960629921269,2.75677630031779))!#17810=CARTESIAN_POINT('Ctrl Pts',(6.30341553074769,0.314960629921269,2.62230869956392))!#17811=CARTESIAN_POINT('Origin',(6.30341553074769,-0.314960629921252,-8.46368884050751E-15))!#17812=CARTESIAN_POINT('Origin',(5.38317561113646,-0.314960629921253,-8.66802314989383E-15))!#17813=CARTESIAN_POINT('',(5.33437974484286,-0.196850393700782,-2.69431877874728))!#17814=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.196850393700782, -2.97014942939144))!#17815=CARTESIAN_POINT('Ctrl Pts',(5.38079949904171,-0.196850393700782, -2.82553001122024))!#17816=CARTESIAN_POINT('Ctrl Pts',(5.33437974484286,-0.196850393700782, -2.69431877874728))!#17817=CARTESIAN_POINT('',(5.33437974484286,0.314960629921268,2.62230869956392))!#17818=CARTESIAN_POINT('Origin',(5.33437974484286,-0.314960629921253,-8.67885800874697E-15))!#17819=CARTESIAN_POINT('Ctrl Pts',(5.33437974484286,0.314960629921268,2.62230869956392))!#17820=CARTESIAN_POINT('Ctrl Pts',(5.38068009924552,0.314960629921269,2.75677630031552))!#17821=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,0.314960629921269,2.90498483080594))!#17822=CARTESIAN_POINT('Origin',(5.81889763779527,0.314960629921268,1.96850393700787))!#17823=CARTESIAN_POINT('',(5.81889763779527,0.314960629921269,2.62230869956392))!#17824=CARTESIAN_POINT('',(5.81889763779527,0.314960629921269,2.98544893203863))!#17825=CARTESIAN_POINT('',(5.81889763779527,0.314960629921269,2.62230869956392))!#17826=CARTESIAN_POINT('Origin',(5.81889763779528,-0.196850393700782,-3.93700787401576))!#17827=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-2.69431877874728))!#17828=CARTESIAN_POINT('',(5.74015748031496,-0.196850393700782,-3.04889424078833))!#17829=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-3.04889424078833))!#17830=CARTESIAN_POINT('',(5.89763779527559,-0.196850393700782,-3.04889424078833))!#17831=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-3.04889424078833))!#17832=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-3.04889424078833))!#17833=CARTESIAN_POINT('',(5.81889763779528,-0.196850393700782,-2.69431877874728))!#17834=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17835=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17836=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17837=CARTESIAN_POINT('',(5.43197147743006,-0.433070866141727,-2.97014942939144))!#17838=CARTESIAN_POINT('',(5.54330708661417,-0.433070866141727,-3.04889424078833))!#17839=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.433070866141727, -2.97014942939144))!#17840=CARTESIAN_POINT('Ctrl Pts',(5.43267472506678,-0.433070866141727, -2.9721369090093))!#17841=CARTESIAN_POINT('Ctrl Pts',(5.43341934139674,-0.433070866141727, -2.97407157298398))!#17842=CARTESIAN_POINT('Ctrl Pts',(5.43977224180231,-0.433070866141727, -2.98941578885194))!#17843=CARTESIAN_POINT('Ctrl Pts',(5.44949222457715,-0.433070866141727, -3.00397125073691))!#17844=CARTESIAN_POINT('Ctrl Pts',(5.47010945039852,-0.433070866141727, -3.0245979787329))!#17845=CARTESIAN_POINT('Ctrl Pts',(5.48465272081566,-0.433070866141727, -3.03432098030493))!#17846=CARTESIAN_POINT('Ctrl Pts',(5.51158323980549,-0.433070866141727, -3.04548082254031))!#17847=CARTESIAN_POINT('Ctrl Pts',(5.52873425946127,-0.433070866141727, -3.04889424078833))!#17848=CARTESIAN_POINT('Ctrl Pts',(5.54330708661417,-0.433070866141727, -3.04889424078833))!#17849=CARTESIAN_POINT('',(5.54330708661417,-1.83070866141732,-2.64805853870871))!#17850=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17851=CARTESIAN_POINT('',(5.54330708661418,-2.32883397805636,2.29216497161162))!#17852=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17853=CARTESIAN_POINT('',(5.54330708661418,-0.966251874433645,2.98085991523146))!#17854=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17855=CARTESIAN_POINT('',(5.54330708661417,-0.944881889763771,2.98544893203863))!#17856=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.63246681968531E-15))!#17857=CARTESIAN_POINT('',(5.43197147743006,-0.944881889763771,2.90498483080594))!#17858=CARTESIAN_POINT('Ctrl Pts',(5.54330708661417,-0.944881889763771, 2.98544893203863))!#17859=CARTESIAN_POINT('Ctrl Pts',(5.5288345478967,-0.944881889763771,2.98544893203863))!#17860=CARTESIAN_POINT('Ctrl Pts',(5.51181165260025,-0.944881889763771, 2.98200867935846))!#17861=CARTESIAN_POINT('Ctrl Pts',(5.48496992577046,-0.944881889763771, 2.97074929033219))!#17862=CARTESIAN_POINT('Ctrl Pts',(5.47044227943743,-0.944881889763771, 2.96088147663329))!#17863=CARTESIAN_POINT('Ctrl Pts',(5.44973865457221,-0.944881889763771, 2.93989893186662))!#17864=CARTESIAN_POINT('Ctrl Pts',(5.43995939925034,-0.944881889763771, 2.92501323304576))!#17865=CARTESIAN_POINT('Ctrl Pts',(5.43351490129263,-0.944881889763771, 2.9092481281919))!#17866=CARTESIAN_POINT('Ctrl Pts',(5.43271941058502,-0.944881889763771, 2.90714601418072))!#17867=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.944881889763771, 2.90498483080594))!#17868=CARTESIAN_POINT('Origin',(5.43197147743006,-0.314960629921253,-8.65718829104069E-15))!#17869=CARTESIAN_POINT('Origin',(5.38317561113646,-0.314960629921253,-8.66802314989383E-15))!#17870=CARTESIAN_POINT('',(5.33437974484286,-0.433070866141727,-2.69431877874728))!#17871=CARTESIAN_POINT('Ctrl Pts',(5.33437974484286,-0.433070866141727, -2.69431877874728))!#17872=CARTESIAN_POINT('Ctrl Pts',(5.38079949904171,-0.433070866141727, -2.82553001122024))!#17873=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.433070866141727, -2.97014942939144))!#17874=CARTESIAN_POINT('',(5.33437974484286,-0.944881889763771,2.62230869956392))!#17875=CARTESIAN_POINT('Ctrl Pts',(5.43197147743006,-0.944881889763771, 2.90498483080594))!#17876=CARTESIAN_POINT('Ctrl Pts',(5.38068009924552,-0.944881889763771, 2.75677630031553))!#17877=CARTESIAN_POINT('Ctrl Pts',(5.33437974484286,-0.944881889763771, 2.62230869956392))!#17878=CARTESIAN_POINT('Origin',(5.33437974484286,-0.314960629921253,-8.67885800874697E-15))!#17879=CARTESIAN_POINT('Origin',(6.25461966445409,-0.314960629921252,-8.47452369936064E-15))!#17880=CARTESIAN_POINT('',(6.20582379816049,-0.433070866141726,-2.97014942939144))!#17881=CARTESIAN_POINT('',(6.30341553074769,-0.433070866141726,-2.69431877874728))!#17882=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.433070866141726, -2.97014942939144))!#17883=CARTESIAN_POINT('Ctrl Pts',(6.25699577654705,-0.433070866141726, -2.82553001122531))!#17884=CARTESIAN_POINT('Ctrl Pts',(6.30341553074769,-0.433070866141726, -2.69431877874728))!#17885=CARTESIAN_POINT('',(6.30341553074769,-0.94488188976377,2.62230869956392))!#17886=CARTESIAN_POINT('Origin',(6.30341553074769,-0.314960629921252,-8.46368884050751E-15))!#17887=CARTESIAN_POINT('',(6.20582379816049,-0.94488188976377,2.90498483080595))!#17888=CARTESIAN_POINT('Ctrl Pts',(6.30341553074769,-0.94488188976377,2.62230869956392))!#17889=CARTESIAN_POINT('Ctrl Pts',(6.25711517634425,-0.94488188976377,2.75677630031779))!#17890=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.94488188976377,2.90498483080595))!#17891=CARTESIAN_POINT('Origin',(6.20582379816049,-0.314960629921252,-8.48535855821378E-15))!#17892=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17893=CARTESIAN_POINT('',(6.09448818897638,-0.433070866141727,-3.04889424078833))!#17894=CARTESIAN_POINT('Ctrl Pts',(6.09448818897638,-0.433070866141727, -3.04889424078833))!#17895=CARTESIAN_POINT('Ctrl Pts',(6.10906101612928,-0.433070866141727, -3.04889424078833))!#17896=CARTESIAN_POINT('Ctrl Pts',(6.12621203578506,-0.433070866141727, -3.04548082254031))!#17897=CARTESIAN_POINT('Ctrl Pts',(6.1531425547749,-0.433070866141727,-3.03432098030493))!#17898=CARTESIAN_POINT('Ctrl Pts',(6.16768582519203,-0.433070866141726, -3.0245979787329))!#17899=CARTESIAN_POINT('Ctrl Pts',(6.1883030510134,-0.433070866141726,-3.00397125073691))!#17900=CARTESIAN_POINT('Ctrl Pts',(6.19802303378824,-0.433070866141726, -2.98941578885194))!#17901=CARTESIAN_POINT('Ctrl Pts',(6.20437593419381,-0.433070866141726, -2.97407157298398))!#17902=CARTESIAN_POINT('Ctrl Pts',(6.20512055052377,-0.433070866141726, -2.9721369090093))!#17903=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.433070866141726, -2.97014942939144))!#17904=CARTESIAN_POINT('',(6.09448818897638,-0.94488188976377,2.98544893203863))!#17905=CARTESIAN_POINT('Ctrl Pts',(6.20582379816049,-0.94488188976377,2.90498483080595))!#17906=CARTESIAN_POINT('Ctrl Pts',(6.20507586500553,-0.94488188976377,2.90714601418072))!#17907=CARTESIAN_POINT('Ctrl Pts',(6.20428037429792,-0.94488188976377,2.90924812819191))!#17908=CARTESIAN_POINT('Ctrl Pts',(6.19783587634022,-0.94488188976377,2.92501323304576))!#17909=CARTESIAN_POINT('Ctrl Pts',(6.18805662101834,-0.94488188976377,2.93989893186662))!#17910=CARTESIAN_POINT('Ctrl Pts',(6.16735299615312,-0.94488188976377,2.96088147663329))!#17911=CARTESIAN_POINT('Ctrl Pts',(6.1528253498201,-0.94488188976377,2.97074929033219))!#17912=CARTESIAN_POINT('Ctrl Pts',(6.1259836229903,-0.94488188976377,2.98200867935846))!#17913=CARTESIAN_POINT('Ctrl Pts',(6.10896072769385,-0.94488188976377,2.98544893203863))!#17914=CARTESIAN_POINT('Ctrl Pts',(6.09448818897638,-0.94488188976377,2.98544893203863))!#17915=CARTESIAN_POINT('',(6.09448818897638,-0.966251874433644,2.98085991523146))!#17916=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17917=CARTESIAN_POINT('',(6.09448818897638,-2.32883397805636,2.29216497161162))!#17918=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17919=CARTESIAN_POINT('',(6.09448818897638,-1.83070866141732,-2.64805853870871))!#17920=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17921=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.51008002956916E-15))!#17922=CARTESIAN_POINT('Origin',(5.81889763779528,-0.433070866141726,-1.96850393700788))!#17923=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141727,-2.69431877874728))!#17924=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141727,-3.04889424078833))!#17925=CARTESIAN_POINT('',(5.81889763779528,-0.433070866141727,-2.69431877874728))!#17926=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17927=CARTESIAN_POINT('',(5.81889763779528,-0.944881889763771,2.62230869956392))!#17928=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17929=CARTESIAN_POINT('',(5.81889763779528,-0.944881889763771,2.62230869956392))!#17930=CARTESIAN_POINT('Origin',(5.81889763779528,-0.94488188976377,3.93700787401574))!#17931=CARTESIAN_POINT('',(5.81889763779528,-0.94488188976377,2.98544893203863))!#17932=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17933=CARTESIAN_POINT('',(5.81889763779528,-0.966251874433645,2.98085991523146))!#17934=CARTESIAN_POINT('Origin',(5.81889763779527,-0.541338582677161,-3.89603512376068))!#17935=CARTESIAN_POINT('',(5.54330708661417,-1.83070866141732,-3.151616961715))!#17936=CARTESIAN_POINT('',(6.09448818897638,-1.83070866141732,-3.151616961715))!#17937=CARTESIAN_POINT('',(5.81889763779527,-1.83070866141732,-3.151616961715))!#17938=CARTESIAN_POINT('',(5.54330708661417,-0.541338582677161,-3.89603512376068))!#17939=CARTESIAN_POINT('',(5.54330708661417,-1.83070866141732,-3.151616961715))!#17940=CARTESIAN_POINT('',(6.09448818897637,-0.541338582677161,-3.89603512376068))!#17941=CARTESIAN_POINT('',(5.81889763779527,-0.541338582677161,-3.89603512376068))!#17942=CARTESIAN_POINT('',(6.09448818897638,-1.83070866141732,-3.151616961715))!#17943=CARTESIAN_POINT('Origin',(5.81889763779527,-1.83070866141732,-3.151616961715))!#17944=CARTESIAN_POINT('',(5.81889763779528,-1.83070866141732,-2.64805853870871))!#17945=CARTESIAN_POINT('',(5.54330708661417,-1.83070866141732,-2.64805853870871))!#17946=CARTESIAN_POINT('',(6.09448818897638,-1.83070866141732,-2.64805853870871))!#17947=CARTESIAN_POINT('Origin',(5.81889763779527,-0.314960629921255,-3.50393700787402))!#17948=CARTESIAN_POINT('',(5.74015748031496,-0.314960629921254,-3.05118110236221))!#17949=CARTESIAN_POINT('',(5.74015748031495,-0.0891206563436293,-3.89634523448284))!#17950=CARTESIAN_POINT('Origin',(5.74015748031496,-0.314960629921255,-3.50393700787402))!#17951=CARTESIAN_POINT('',(5.89763779527558,-0.089120656343629,-3.89634523448284))!#17952=CARTESIAN_POINT('',(5.81889763779527,-0.0891206563436293,-3.89634523448284))!#17953=CARTESIAN_POINT('',(5.89763779527559,-0.314960629921254,-3.05118110236221))!#17954=CARTESIAN_POINT('Origin',(5.89763779527559,-0.314960629921254,-3.50393700787402))!#17955=CARTESIAN_POINT('',(6.09448818897637,-0.314960629921254,-3.05118110236221))!#17956=CARTESIAN_POINT('',(5.81889763779527,-0.314960629921254,-3.05118110236221))!#17957=CARTESIAN_POINT('Origin',(6.09448818897637,-0.314960629921254,-3.50393700787402))!#17958=CARTESIAN_POINT('',(5.54330708661417,-0.314960629921255,-3.05118110236221))!#17959=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921255,-3.50393700787402))!#17960=CARTESIAN_POINT('',(5.81889763779527,-0.314960629921254,-3.05118110236221))!#17961=CARTESIAN_POINT('Origin',(5.74015748031495,0.49034396593718,-3.24522386820918))!#17962=CARTESIAN_POINT('Origin',(5.74015748031496,-0.314960629921253,-8.46403058279929E-15))!#17963=CARTESIAN_POINT('',(5.74015748031495,1.20488188976378,-2.64571064834568))!#17964=CARTESIAN_POINT('Origin',(5.74015748031495,-0.314960629921252,-8.58875725178669E-15))!#17965=CARTESIAN_POINT('',(5.74015748031495,1.20488188976378,-3.151616961715))!#17966=CARTESIAN_POINT('',(5.74015748031495,1.20488188976378,-2.64571064834568))!#17967=CARTESIAN_POINT('',(5.74015748031495,1.20488188976378,-3.151616961715))!#17968=CARTESIAN_POINT('Origin',(5.81889763779527,1.20488188976378,-2.64571064834568))!#17969=CARTESIAN_POINT('',(5.89763779527558,1.20488188976378,-3.151616961715))!#17970=CARTESIAN_POINT('',(5.81889763779527,1.20488188976378,-3.151616961715))!#17971=CARTESIAN_POINT('',(5.89763779527558,1.20488188976378,-2.64571064834568))!#17972=CARTESIAN_POINT('',(5.81889763779528,1.20488188976378,-2.64571064834568))!#17973=CARTESIAN_POINT('',(5.89763779527558,1.20488188976378,-2.64571064834568))!#17974=CARTESIAN_POINT('Origin',(5.81889763779527,1.20488188976378,-3.151616961715))!#17975=CARTESIAN_POINT('',(5.89763779527558,1.20488188976378,-3.151616961715))!#17976=CARTESIAN_POINT('Origin',(5.89763779527558,0.49034396593718,-3.24522386820918))!#17977=CARTESIAN_POINT('Origin',(5.89763779527558,-0.314960629921252,-8.55378959746778E-15))!#17978=CARTESIAN_POINT('Origin',(5.89763779527559,-0.314960629921252,-8.67851626645519E-15))!#17979=CARTESIAN_POINT('Origin',(6.09448818897637,-0.923942848368786,-3.30114977950014))!#17980=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921252,-8.94662337102506E-15))!#17981=CARTESIAN_POINT('',(6.09448818897638,-0.564960629921254,-3.64827457517142))!#17982=CARTESIAN_POINT('',(6.09448818897638,-0.564960629921254,-3.35959944057661))!#17983=CARTESIAN_POINT('',(6.09448818897637,-0.564960629921254,-3.47471217733578))!#17984=CARTESIAN_POINT('',(6.09448818897638,-0.314960629921254,-3.2152618732792))!#17985=CARTESIAN_POINT('',(6.09448818897637,-0.686924239005377,-3.43001516311266))!#17986=CARTESIAN_POINT('',(6.09448818897638,-0.0649606299212541,-3.35959944057661))!#17987=CARTESIAN_POINT('',(6.09448818897637,-0.52473368467278,-3.09414934364968))!#17988=CARTESIAN_POINT('',(6.09448818897638,-0.0649606299212546,-3.64827457517142))!#17989=CARTESIAN_POINT('',(6.09448818897637,-0.064960629921254,-3.33037461003838))!#17990=CARTESIAN_POINT('',(6.09448818897638,-0.314960629921254,-3.79261214246883))!#17991=CARTESIAN_POINT('',(6.09448818897637,-0.311924239005378,-3.79085908135618))!#17992=CARTESIAN_POINT('',(6.09448818897637,-0.64973368467278,-3.5993308291906))!#17993=CARTESIAN_POINT('Origin',(5.54330708661417,-0.923942848368787,-3.30114977950014))!#17994=CARTESIAN_POINT('Origin',(5.54330708661417,-0.314960629921253,-8.19592347822941E-15))!#17995=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17996=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17997=CARTESIAN_POINT('',(5.81889763779528,-2.32883397805636,2.29216497161162))!#17998=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#17999=CARTESIAN_POINT('',(5.81889763779527,0.472440944881897,2.94783053777006))!#18000=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#18001=CARTESIAN_POINT('',(5.81889763779528,0.913348487692481,2.79302037783457))!#18002=CARTESIAN_POINT('Origin',(6.09448818897638,-1.58512980797822,3.1004948593302))!#18003=CARTESIAN_POINT('',(6.09448818897638,-1.38313248271043,3.74323718895525))!#18004=CARTESIAN_POINT('Origin',(6.09448818897638,-1.06289509136129,3.42318106394322))!#18005=CARTESIAN_POINT('',(6.09448818897638,-2.4356006644941,2.69017293342911))!#18006=CARTESIAN_POINT('',(6.09448818897638,-2.4356006644941,2.69017293342911))!#18007=CARTESIAN_POINT('',(6.09448818897638,-2.32883397805636,2.29216497161162))!#18008=CARTESIAN_POINT('Origin',(5.81889763779528,-1.06289509136129,3.42318106394322))!#18009=CARTESIAN_POINT('',(5.54330708661418,-1.38313248271043,3.74323718895526))!#18010=CARTESIAN_POINT('',(5.81889763779528,-1.38313248271043,3.74323718895525))!#18011=CARTESIAN_POINT('Origin',(5.54330708661418,-1.06289509136129,3.42318106394322))!#18012=CARTESIAN_POINT('',(5.9652700852522,-0.74803149606298,3.0978368979718))!#18013=CARTESIAN_POINT('',(5.67252519033835,-0.74803149606298,3.0978368979718))!#18014=CARTESIAN_POINT('Ctrl Pts',(5.9652700852522,-0.74803149606298,3.0978368979718))!#18015=CARTESIAN_POINT('Ctrl Pts',(5.97806238651572,-0.733332432865504, 3.11206244854239))!#18016=CARTESIAN_POINT('Ctrl Pts',(5.98926856642375,-0.71814112408244,3.1287702944945))!#18017=CARTESIAN_POINT('Ctrl Pts',(6.00929972801087,-0.684631543837109, 3.17237065850323))!#18018=CARTESIAN_POINT('Ctrl Pts',(6.01574803149606,-0.667192543035065, 3.20090764032605))!#18019=CARTESIAN_POINT('Ctrl Pts',(6.01574803149606,-0.642659152739193, 3.25273296181138))!#18020=CARTESIAN_POINT('Ctrl Pts',(6.01131904020604,-0.633081182450556, 3.27864463992667))!#18021=CARTESIAN_POINT('Ctrl Pts',(5.99159991035578,-0.61963090573459,3.32753780367701))!#18022=CARTESIAN_POINT('Ctrl Pts',(5.97626721984761,-0.615642270670486, 3.35047003535098))!#18023=CARTESIAN_POINT('Ctrl Pts',(5.94069836392858,-0.611321388881195, 3.3860603300044))!#18024=CARTESIAN_POINT('Ctrl Pts',(5.91857557098899,-0.610559599362983, 3.401230152155))!#18025=CARTESIAN_POINT('Ctrl Pts',(5.87005218171969,-0.610030975468966, 3.42135573174864))!#18026=CARTESIAN_POINT('Ctrl Pts',(5.84365329720547,-0.610150014796272, 3.42631245691702))!#18027=CARTESIAN_POINT('Ctrl Pts',(5.79414197838507,-0.610150014796272, 3.42631245691702))!#18028=CARTESIAN_POINT('Ctrl Pts',(5.76774309387086,-0.610030975468966, 3.42135573174864))!#18029=CARTESIAN_POINT('Ctrl Pts',(5.71921970460155,-0.610559599362983, 3.401230152155))!#18030=CARTESIAN_POINT('Ctrl Pts',(5.69709691166197,-0.611321388881195, 3.3860603300044))!#18031=CARTESIAN_POINT('Ctrl Pts',(5.66152805574294,-0.615642270670487, 3.35047003535098))!#18032=CARTESIAN_POINT('Ctrl Pts',(5.64619536523477,-0.61963090573459,3.32753780367701))!#18033=CARTESIAN_POINT('Ctrl Pts',(5.62647623538451,-0.633081182450556, 3.27864463992667))!#18034=CARTESIAN_POINT('Ctrl Pts',(5.62204724409449,-0.642659152739194, 3.25273296181138))!#18035=CARTESIAN_POINT('Ctrl Pts',(5.62204724409449,-0.667192543035066, 3.20090764032605))!#18036=CARTESIAN_POINT('Ctrl Pts',(5.62849554757968,-0.68463154383711,3.17237065850323))!#18037=CARTESIAN_POINT('Ctrl Pts',(5.64852670916679,-0.71814112408244,3.1287702944945))!#18038=CARTESIAN_POINT('Ctrl Pts',(5.65973288907483,-0.733332432865504, 3.11206244854239))!#18039=CARTESIAN_POINT('Ctrl Pts',(5.67252519033835,-0.74803149606298,3.0978368979718))!#18040=CARTESIAN_POINT('',(5.81889763779528,-0.74803149606298,3.0978368979718))!#18041=CARTESIAN_POINT('Origin',(5.81889763779528,-1.38313248271043,3.74323718895525))!#18042=CARTESIAN_POINT('',(5.54330708661418,-2.43560066449411,2.69017293342911))!#18043=CARTESIAN_POINT('',(5.81889763779528,-2.4356006644941,2.69017293342911))!#18044=CARTESIAN_POINT('',(5.54330708661418,-2.43560066449411,2.69017293342911))!#18045=CARTESIAN_POINT('Origin',(5.81889763779528,-2.4356006644941,2.69017293342911))!#18046=CARTESIAN_POINT('',(5.54330708661418,-2.32883397805636,2.29216497161162))!#18047=CARTESIAN_POINT('Origin',(5.54330708661418,-1.58512980797822,3.1004948593302))!#18048=CARTESIAN_POINT('Origin',(6.21259842519685,0.750446260726678,3.37486009405549))!#18049=CARTESIAN_POINT('',(6.21259842519685,0.629921259842528,3.8200132443186))!#18050=CARTESIAN_POINT('',(6.21259842519685,0.472440944881898,2.98351911967452))!#18051=CARTESIAN_POINT('Origin',(6.21259842519685,0.629921259842528,3.38694237817687))!#18052=CARTESIAN_POINT('',(6.21259842519685,0.472440944881898,2.94783053777006))!#18053=CARTESIAN_POINT('',(6.21259842519685,0.472440944881898,2.98351911967452))!#18054=CARTESIAN_POINT('',(6.21259842519685,0.913348487692481,2.79302037783457))!#18055=CARTESIAN_POINT('Origin',(6.21259842519685,-0.314960629921252,-9.15119720166561E-15))!#18056=CARTESIAN_POINT('',(6.21259842519685,1.10236220472442,2.95387151203514))!#18057=CARTESIAN_POINT('Origin',(6.21259842519685,1.10236220472442,2.76239237542231))!#18058=CARTESIAN_POINT('',(6.21259842519685,1.10236220472442,3.82538069869161))!#18059=CARTESIAN_POINT('',(6.21259842519685,1.10236220472442,2.95387151203514))!#18060=CARTESIAN_POINT('',(6.21259842519685,1.10236220472442,3.82538069869161))!#18061=CARTESIAN_POINT('Origin',(5.81889763779528,0.472440944881897,2.98351911967453))!#18062=CARTESIAN_POINT('',(5.4251968503937,0.472440944881896,2.94783053777006))!#18063=CARTESIAN_POINT('',(5.81889763779528,0.472440944881897,2.94783053777006))!#18064=CARTESIAN_POINT('',(5.81889763779528,0.472440944881897,2.94783053777006))!#18065=CARTESIAN_POINT('',(5.4251968503937,0.472440944881896,2.98351911967453))!#18066=CARTESIAN_POINT('',(5.81889763779528,0.472440944881897,2.98351911967453))!#18067=CARTESIAN_POINT('',(5.4251968503937,0.472440944881896,2.98351911967453))!#18068=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,2.76239237542231))!#18069=CARTESIAN_POINT('',(5.4251968503937,1.10236220472441,2.95387151203514))!#18070=CARTESIAN_POINT('',(5.54954327992529,1.10236220472441,2.95387151203514))!#18071=CARTESIAN_POINT('',(5.81889763779528,1.10236220472441,2.95387151203514))!#18072=CARTESIAN_POINT('',(6.08825199566525,1.10236220472441,2.95387151203514))!#18073=CARTESIAN_POINT('',(5.81889763779528,1.10236220472441,2.95387151203514))!#18074=CARTESIAN_POINT('',(5.81889763779528,1.10236220472441,2.95387151203514))!#18075=CARTESIAN_POINT('',(5.81889763779528,0.913348487692481,2.79302037783457))!#18076=CARTESIAN_POINT('',(5.4251968503937,0.91334848769248,2.79302037783457))!#18077=CARTESIAN_POINT('',(5.81889763779528,0.913348487692481,2.79302037783457))!#18078=CARTESIAN_POINT('Origin',(5.4251968503937,1.10236220472441,2.76239237542231))!#18079=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,3.82538069869161))!#18080=CARTESIAN_POINT('',(6.03543307086615,1.10236220472441,3.82538069869161))!#18081=CARTESIAN_POINT('',(6.03543307086615,0.629921259842528,3.8200132443186))!#18082=CARTESIAN_POINT('',(6.03543307086615,1.09897749249805,3.82534224459775))!#18083=CARTESIAN_POINT('',(5.81889763779528,0.629921259842527,3.8200132443186))!#18084=CARTESIAN_POINT('',(5.81889763779528,1.10236220472441,3.82538069869161))!#18085=CARTESIAN_POINT('Origin',(5.4251968503937,0.750446260726676,3.37486009405549))!#18086=CARTESIAN_POINT('',(5.42519685039371,0.629921259842526,3.82001324431861))!#18087=CARTESIAN_POINT('Origin',(5.4251968503937,0.629921259842526,3.38694237817687))!#18088=CARTESIAN_POINT('',(5.4251968503937,1.10236220472441,3.82538069869161))!#18089=CARTESIAN_POINT('',(5.4251968503937,1.10236220472441,3.82538069869161))!#18090=CARTESIAN_POINT('',(5.4251968503937,1.10236220472441,2.95387151203514))!#18091=CARTESIAN_POINT('Origin',(5.4251968503937,-0.314960629921253,-7.99134964758886E-15))!#18092=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,3.82538069869161))!#18093=CARTESIAN_POINT('',(5.60236220472441,0.629921259842527,3.8200132443186))!#18094=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.82538069869161))!#18095=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.82538069869161))!#18096=CARTESIAN_POINT('',(5.81889763779528,1.10236220472441,3.82538069869161))!#18097=CARTESIAN_POINT('',(5.81889763779528,0.629921259842527,3.8200132443186))!#18098=CARTESIAN_POINT('Origin',(5.81889763779528,0.629921259842527,3.38694237817687))!#18099=CARTESIAN_POINT('',(6.03543307086615,0.226498001340181,3.22946206321624))!#18100=CARTESIAN_POINT('Origin',(6.03543307086615,0.629921259842528,3.38694237817687))!#18101=CARTESIAN_POINT('',(5.60236220472441,0.226498001340181,3.22946206321624))!#18102=CARTESIAN_POINT('Ctrl Pts',(6.03543307086615,0.226498001340182,3.22946206321624))!#18103=CARTESIAN_POINT('Ctrl Pts',(6.03543307086615,0.233690443096636,3.21103691407489))!#18104=CARTESIAN_POINT('Ctrl Pts',(6.0329954067678,0.242171671102495,3.19323100383223))!#18105=CARTESIAN_POINT('Ctrl Pts',(6.02349473133437,0.263404695272234,3.15480520866053))!#18106=CARTESIAN_POINT('Ctrl Pts',(6.01383854415927,0.27869169313766,3.13229279523535))!#18107=CARTESIAN_POINT('Ctrl Pts',(5.98834885564226,0.311215153997317,3.09260407869486))!#18108=CARTESIAN_POINT('Ctrl Pts',(5.97255073058851,0.328419184265725,3.07537850786424))!#18109=CARTESIAN_POINT('Ctrl Pts',(5.93893670513131,0.359634937962849,3.04792217251745))!#18110=CARTESIAN_POINT('Ctrl Pts',(5.91820311964387,0.376450658446195,3.03544586765389))!#18111=CARTESIAN_POINT('Ctrl Pts',(5.88333896695261,0.396049957716224,3.02236552577069))!#18112=CARTESIAN_POINT('Ctrl Pts',(5.87107545516891,0.40161899627439,3.01890815218915))!#18113=CARTESIAN_POINT('Ctrl Pts',(5.84559515417873,0.409409027132644,3.01418847768462))!#18114=CARTESIAN_POINT('Ctrl Pts',(5.83237021503188,0.411603612078563,3.01292663014537))!#18115=CARTESIAN_POINT('Ctrl Pts',(5.81889763779528,0.411603612078563,3.01292663014537))!#18116=CARTESIAN_POINT('Ctrl Pts',(5.80542506055868,0.411603612078563,3.01292663014537))!#18117=CARTESIAN_POINT('Ctrl Pts',(5.79220012141183,0.409409027132644,3.01418847768462))!#18118=CARTESIAN_POINT('Ctrl Pts',(5.76671982042165,0.40161899627439,3.01890815218915))!#18119=CARTESIAN_POINT('Ctrl Pts',(5.75445630863795,0.396049957716224,3.02236552577069))!#18120=CARTESIAN_POINT('Ctrl Pts',(5.71959215594669,0.376450658446195,3.03544586765389))!#18121=CARTESIAN_POINT('Ctrl Pts',(5.69885857045924,0.359634937962849,3.04792217251745))!#18122=CARTESIAN_POINT('Ctrl Pts',(5.66524454500205,0.328419184265725,3.07537850786424))!#18123=CARTESIAN_POINT('Ctrl Pts',(5.6494464199483,0.311215153997316,3.09260407869486))!#18124=CARTESIAN_POINT('Ctrl Pts',(5.62395673143129,0.27869169313766,3.13229279523535))!#18125=CARTESIAN_POINT('Ctrl Pts',(5.61430054425619,0.263404695272233,3.15480520866053))!#18126=CARTESIAN_POINT('Ctrl Pts',(5.60479986882277,0.242171671102517,3.19323100383219))!#18127=CARTESIAN_POINT('Ctrl Pts',(5.60236220472441,0.233690443096543,3.21103691407512))!#18128=CARTESIAN_POINT('Ctrl Pts',(5.60236220472441,0.226498001340181,3.22946206321624))!#18129=CARTESIAN_POINT('Origin',(5.60236220472441,0.629921259842527,3.38694237817687))!#18130=CARTESIAN_POINT('Origin',(6.03543307086614,1.10236220472441,3.22946206321624))!#18131=CARTESIAN_POINT('',(6.03543307086614,1.10236220472442,3.5355476348484))!#18132=CARTESIAN_POINT('',(6.03543307086614,1.10236220472441,3.09166678762569))!#18133=CARTESIAN_POINT('',(6.03543307086614,1.10236220472441,3.22946206321624))!#18134=CARTESIAN_POINT('',(6.03543307086614,1.10236220472441,3.09166678762569))!#18135=CARTESIAN_POINT('',(6.03543307086614,1.10236220472441,3.22946206321624))!#18136=CARTESIAN_POINT('Origin',(5.60236220472441,1.10236220472441,3.82538069869161))!#18137=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.22946206321624))!#18138=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.22946206321624))!#18139=CARTESIAN_POINT('',(5.60236220472441,1.10236220472442,3.5355476348484))!#18140=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.38962610536338))!#18141=CARTESIAN_POINT('',(5.60236220472441,1.10236220472441,3.38962610536338))!#18142=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,3.22946206321624))!#18143=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,3.22946206321624))!#18144=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#18145=CARTESIAN_POINT('Origin',(5.81889763779528,-0.314960629921252,-8.57127342462724E-15))!#18146=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,2.95387151203514))!#18147=CARTESIAN_POINT('',(6.19224323509605,1.10236220472442,3.01391088211387))!#18148=CARTESIAN_POINT('',(5.85256693252902,1.10236220472441,2.81779867738946))!#18149=CARTESIAN_POINT('',(6.19224323509604,1.10236220472442,3.4450132443186))!#18150=CARTESIAN_POINT('',(6.19224323509605,1.10236220472441,2.98389119707451))!#18151=CARTESIAN_POINT('',(6.1585739403623,1.10236220472441,3.46445222069655))!#18152=CARTESIAN_POINT('Origin',(5.81889763779528,1.10236220472441,2.95387151203514))!#18153=CARTESIAN_POINT('',(5.4455520404945,1.10236220472442,3.01391088211387))!#18154=CARTESIAN_POINT('',(5.59855554441114,1.10236220472441,2.92557426794064))!#18155=CARTESIAN_POINT('',(5.4455520404945,1.10236220472442,3.44501324431859))!#18156=CARTESIAN_POINT('',(5.66589413387864,1.10236220472441,3.57222781124773))!#18157=CARTESIAN_POINT('',(5.4455520404945,1.10236220472441,3.19944237817687))!#18158=CARTESIAN_POINT('Origin',(5.81889763779527,2.67716535433072,2.79835970101151))!#18159=CARTESIAN_POINT('',(6.19224323509605,2.65748031496064,3.01391088211387))!#18160=CARTESIAN_POINT('',(5.81889763779527,2.65748031496064,2.79835970101151))!#18161=CARTESIAN_POINT('',(5.91223403712047,2.65748031496064,2.8522474962871))!#18162=CARTESIAN_POINT('',(6.19224323509605,2.67716535433072,3.01391088211387))!#18163=CARTESIAN_POINT('',(5.81889763779527,1.10236220472442,2.79835970101151))!#18164=CARTESIAN_POINT('',(5.81889763779527,1.10236220472442,2.79835970101151))!#18165=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,2.79835970101151))!#18166=CARTESIAN_POINT('Origin',(6.19224323509605,2.67716535433072,3.01391088211387))!#18167=CARTESIAN_POINT('',(6.19224323509604,2.65748031496064,3.4450132443186))!#18168=CARTESIAN_POINT('',(6.19224323509605,2.65748031496064,3.12168647266505))!#18169=CARTESIAN_POINT('',(6.19224323509604,2.67716535433072,3.4450132443186))!#18170=CARTESIAN_POINT('Origin',(6.19224323509604,2.67716535433072,3.4450132443186))!#18171=CARTESIAN_POINT('',(5.81889763779527,2.65748031496064,3.66056442542096))!#18172=CARTESIAN_POINT('',(6.09890683577085,2.65748031496064,3.49890103959419))!#18173=CARTESIAN_POINT('',(5.81889763779527,1.10236220472442,3.66056442542096))!#18174=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,3.66056442542096))!#18175=CARTESIAN_POINT('',(6.19224323509604,1.10236220472442,3.4450132443186))!#18176=CARTESIAN_POINT('Origin',(5.81889763779527,-0.74803149606298,3.22946206321623))!#18177=CARTESIAN_POINT('',(5.91732283464567,-0.74803149606298,3.05898462152638))!#18178=CARTESIAN_POINT('Origin',(5.81889763779527,-0.74803149606298,3.22946206321623))!#18179=CARTESIAN_POINT('Origin',(5.81889763779527,-0.74803149606298,3.22946206321623))!#18180=CARTESIAN_POINT('Origin',(5.81889763779527,2.67716535433072,3.22946206321623))!#18181=CARTESIAN_POINT('',(5.46523707986458,2.67716535433072,3.02527604489319))!#18182=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,2.82109002657016))!#18183=CARTESIAN_POINT('',(5.54873095950473,2.67716535433072,2.97707083100726))!#18184=CARTESIAN_POINT('',(5.46523707986458,2.67716535433072,3.43364808153927))!#18185=CARTESIAN_POINT('',(5.46523707986458,2.67716535433072,3.33723765376741))!#18186=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,3.63783409986231))!#18187=CARTESIAN_POINT('',(5.73540375815512,2.67716535433072,3.58962888597638))!#18188=CARTESIAN_POINT('',(6.17255819572597,2.67716535433072,3.43364808153927))!#18189=CARTESIAN_POINT('',(6.08906431608581,2.67716535433072,3.4818532954252))!#18190=CARTESIAN_POINT('',(6.17255819572597,2.67716535433072,3.0252760448932))!#18191=CARTESIAN_POINT('',(6.17255819572597,2.67716535433072,3.12168647266505))!#18192=CARTESIAN_POINT('',(5.90239151743543,2.67716535433072,2.86929524045608))!#18193=CARTESIAN_POINT('Origin',(5.4455520404945,2.67716535433072,3.01391088211387))!#18194=CARTESIAN_POINT('',(5.4455520404945,2.65748031496064,3.01391088211387))!#18195=CARTESIAN_POINT('',(5.53888843981969,2.65748031496064,2.96002308683828))!#18196=CARTESIAN_POINT('',(5.4455520404945,1.10236220472442,3.01391088211387))!#18197=CARTESIAN_POINT('',(5.4455520404945,2.67716535433072,3.01391088211387))!#18198=CARTESIAN_POINT('Origin',(5.4455520404945,2.67716535433072,3.44501324431859))!#18199=CARTESIAN_POINT('',(5.4455520404945,2.65748031496064,3.44501324431859))!#18200=CARTESIAN_POINT('',(5.4455520404945,2.65748031496064,3.33723765376741))!#18201=CARTESIAN_POINT('',(5.4455520404945,2.67716535433072,3.44501324431859))!#18202=CARTESIAN_POINT('Origin',(5.81889763779527,2.67716535433072,3.66056442542096))!#18203=CARTESIAN_POINT('',(5.72556123847008,2.65748031496064,3.60667663014537))!#18204=CARTESIAN_POINT('',(5.81889763779527,1.10236220472442,3.66056442542096))!#18205=CARTESIAN_POINT('Origin',(5.7304824983126,2.66732283464568,3.59815275806087))!#18206=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,3.63783409986231))!#18207=CARTESIAN_POINT('',(5.46523707986458,2.67716535433072,3.43364808153927))!#18208=CARTESIAN_POINT('Origin',(6.09398557592833,2.66732283464568,3.49037716750969))!#18209=CARTESIAN_POINT('',(6.17255819572597,2.67716535433072,3.43364808153927))!#18210=CARTESIAN_POINT('Origin',(5.45539456017954,2.66732283464568,3.33723765376741))!#18211=CARTESIAN_POINT('',(5.4455520404945,2.65748031496064,3.01391088211387))!#18212=CARTESIAN_POINT('Origin',(6.18240071541101,2.66732283464568,3.12168647266505))!#18213=CARTESIAN_POINT('',(6.17255819572597,2.67716535433072,3.0252760448932))!#18214=CARTESIAN_POINT('Origin',(5.90731277727795,2.66732283464568,2.86077136837159))!#18215=CARTESIAN_POINT('',(5.81889763779527,2.67716535433072,2.82109002657016))!#18216=CARTESIAN_POINT('Origin',(5.54380969966221,2.66732283464568,2.96854695892277))!#18217=CARTESIAN_POINT('Origin',(5.81889763779527,1.10236220472442,3.22946206321623))!#18218=CARTESIAN_POINT('Origin',(5.81889763779527,1.10236220472442,3.22946206321623))!#18219=CARTESIAN_POINT('',(5.91732283464567,1.10236220472442,3.05898462152638))!#18220=CARTESIAN_POINT('Origin',(5.81889763779527,1.10236220472442,3.22946206321623))!#18221=CARTESIAN_POINT('Origin',(5.81889763779527,1.10236220472442,3.22946206321623))!#18222=CARTESIAN_POINT('',(5.91732283464567,1.10236220472442,3.05898462152638))!#18223=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18224=CARTESIAN_POINT('',(6.27261318897638,-0.0649606299212541,-3.50393700787401))!#18225=CARTESIAN_POINT('',(6.27261318897638,-0.189960629921254,-3.2874306569279))!#18226=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18227=CARTESIAN_POINT('',(6.27261318897638,-0.439960629921253,-3.2874306569279))!#18228=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18229=CARTESIAN_POINT('',(6.27261318897638,-0.564960629921254,-3.50393700787401))!#18230=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18231=CARTESIAN_POINT('',(6.27261318897638,-0.439960629921254,-3.72044335882012))!#18232=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18233=CARTESIAN_POINT('',(6.27261318897638,-0.189960629921254,-3.72044335882012))!#18234=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18235=CARTESIAN_POINT('Origin',(6.27261318897638,-0.314960629921254,-3.50393700787401))!#18236=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921254,-3.79261214246883))!#18237=CARTESIAN_POINT('',(6.25028408960712,-0.564960629921253,-3.64827457517142))!#18238=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.439960629921254, -3.72044335882012))!#18239=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.497973331813473, -3.68694970976623))!#18240=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.564960629921253, -3.64827457517142))!#18241=CARTESIAN_POINT('',(6.09448818897638,-0.564960629921254,-3.64827457517142))!#18242=CARTESIAN_POINT('',(6.25028408960712,-0.314960629921253,-3.79261214246882))!#18243=CARTESIAN_POINT('',(6.09448818897638,-0.314960629921254,-3.79261214246883))!#18244=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.314960629921255, -3.79261214246882))!#18245=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.381947928029035, -3.75393700787401))!#18246=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.439960629921254, -3.72044335882012))!#18247=CARTESIAN_POINT('Origin',(6.09448818897638,-0.0649606299212546,-3.64827457517142))!#18248=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.189960629921254, -3.72044335882012))!#18249=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.247973331813473, -3.75393700787401))!#18250=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.314960629921253, -3.79261214246882))!#18251=CARTESIAN_POINT('',(6.25028408960712,-0.0649606299212543,-3.64827457517142))!#18252=CARTESIAN_POINT('',(6.09448818897638,-0.0649606299212546,-3.64827457517142))!#18253=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.0649606299212553, -3.64827457517142))!#18254=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.131947928029035, -3.68694970976623))!#18255=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.189960629921254, -3.72044335882012))!#18256=CARTESIAN_POINT('Origin',(6.09448818897638,-0.0649606299212541,-3.35959944057661))!#18257=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.0649606299212541, -3.50393700787401))!#18258=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.0649606299212542, -3.57092430598179))!#18259=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.0649606299212543, -3.64827457517142))!#18260=CARTESIAN_POINT('',(6.25028408960712,-0.0649606299212539,-3.35959944057661))!#18261=CARTESIAN_POINT('',(6.09448818897638,-0.0649606299212541,-3.35959944057661))!#18262=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.0649606299212539, -3.35959944057661))!#18263=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.064960629921254, -3.43694970976623))!#18264=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.0649606299212541, -3.50393700787401))!#18265=CARTESIAN_POINT('Origin',(6.09448818897638,-0.314960629921254,-3.2152618732792))!#18266=CARTESIAN_POINT('',(6.25028408960712,-0.314960629921254,-3.2152618732792))!#18267=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.314960629921252, -3.2152618732792))!#18268=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.247973331813472, -3.25393700787401))!#18269=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.189960629921254, -3.2874306569279))!#18270=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.189960629921254, -3.2874306569279))!#18271=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.131947928029035, -3.32092430598179))!#18272=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.0649606299212549, -3.35959944057661))!#18273=CARTESIAN_POINT('',(6.09448818897638,-0.314960629921254,-3.2152618732792))!#18274=CARTESIAN_POINT('Origin',(6.09448818897638,-0.564960629921254,-3.35959944057661))!#18275=CARTESIAN_POINT('',(6.25028408960712,-0.564960629921254,-3.35959944057661))!#18276=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.564960629921253, -3.35959944057661))!#18277=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.497973331813472, -3.32092430598179))!#18278=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.439960629921253, -3.2874306569279))!#18279=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.439960629921253, -3.2874306569279))!#18280=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.381947928029035, -3.25393700787401))!#18281=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.314960629921254, -3.2152618732792))!#18282=CARTESIAN_POINT('',(6.09448818897638,-0.564960629921254,-3.35959944057661))!#18283=CARTESIAN_POINT('Origin',(6.09448818897638,-0.564960629921254,-3.64827457517142))!#18284=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.564960629921254, -3.64827457517142))!#18285=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.564960629921254, -3.57092430598179))!#18286=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.564960629921254, -3.50393700787401))!#18287=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.564960629921254, -3.50393700787401))!#18288=CARTESIAN_POINT('Ctrl Pts',(6.27261318897638,-0.564960629921254, -3.43694970976623))!#18289=CARTESIAN_POINT('Ctrl Pts',(6.25028408960712,-0.564960629921254, -3.35959944057661))!#18290=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18291=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18292=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18293=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18294=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18295=CARTESIAN_POINT('Origin',(6.26144863929175,-0.314960629921254,-3.50393700787401))!#18296=CARTESIAN_POINT('Origin',(-5.39582677165354,1.50908120488133E-61, -0.687500000000015))!#18297=CARTESIAN_POINT('',(-5.39582677165354,-2.28697555937881,-2.56256611055991))!#18298=CARTESIAN_POINT('Origin',(-5.39582677165354,-2.56256611055991,-2.56256611055991))!#18299=CARTESIAN_POINT('Origin',(-6.78082677165354,1.37441126488386E-61, -1.50564600157689E-15))!#18300=CARTESIAN_POINT('',(-5.64582677165354,1.48477255145218E-61,-4.52000000000001))!#18301=CARTESIAN_POINT('',(-5.64582677165355,-5.53540353214604E-16,4.52))!#18302=CARTESIAN_POINT('Origin',(-5.64582677165354,1.48477255145218E-61, -1.25362537498698E-15))!#18303=CARTESIAN_POINT('Origin',(-5.64582677165354,1.48477255145218E-61, -1.25362537498698E-15))!#18304=CARTESIAN_POINT('',(-7.91582677165354,-5.53540353214604E-16,4.52))!#18305=CARTESIAN_POINT('',(-6.78082677165354,-5.53540353214604E-16,4.52))!#18306=CARTESIAN_POINT('',(-7.91582677165354,1.26404997831554E-61,-4.52000000000001))!#18307=CARTESIAN_POINT('Origin',(-7.91582677165354,1.26404997831554E-61, -1.7576666281668E-15))!#18308=CARTESIAN_POINT('Origin',(-7.91582677165354,1.26404997831554E-61, -1.7576666281668E-15))!#18309=CARTESIAN_POINT('Origin',(-7.91582677165354,1.26404997831554E-61, -4.52000000000001))!#18310=CARTESIAN_POINT('',(-7.91582677165354,1.26404997831554E-61,-4.78))!#18311=CARTESIAN_POINT('',(-7.91582677165354,-5.85381169992435E-16,4.78))!#18312=CARTESIAN_POINT('Origin',(-7.91582677165354,1.26404997831554E-61, -1.7576666281668E-15))!#18313=CARTESIAN_POINT('Origin',(-7.91582677165354,1.26404997831554E-61, -1.7576666281668E-15))!#18314=CARTESIAN_POINT('Origin',(-12.8708267716535,7.82252467349888E-62, -2.85789764557033E-15))!#18315=CARTESIAN_POINT('',(-14.1558267716535,-5.85381169992435E-16,4.78))!#18316=CARTESIAN_POINT('',(-12.8708267716535,-5.85381169992435E-16,4.78))!#18317=CARTESIAN_POINT('',(-14.1558267716535,-1.79061951652806,4.43193882482924))!#18318=CARTESIAN_POINT('Origin',(-14.1558267716535,6.57305988724083E-62, -3.14322496289899E-15))!#18319=CARTESIAN_POINT('',(-17.6558267716535,-1.79061951652806,4.43193882482924))!#18320=CARTESIAN_POINT('',(-12.8708267716535,-1.79061951652806,4.43193882482924))!#18321=CARTESIAN_POINT('',(-17.6558267716535,-5.85381169992435E-16,4.78))!#18322=CARTESIAN_POINT('Origin',(-17.6558267716535,3.16984840716051E-62, -3.9203810801366E-15))!#18323=CARTESIAN_POINT('',(-17.8258267716535,-5.85381169992435E-16,4.78))!#18324=CARTESIAN_POINT('',(-12.8708267716535,-5.85381169992435E-16,4.78))!#18325=CARTESIAN_POINT('',(-17.8258267716535,3.00454956384233E-62,-4.78))!#18326=CARTESIAN_POINT('Origin',(-17.8258267716535,3.00454956384233E-62, -3.95812866297386E-15))!#18327=CARTESIAN_POINT('Origin',(-17.8258267716535,3.00454956384233E-62, -3.95812866297386E-15))!#18328=CARTESIAN_POINT('',(-17.6558267716535,1.79061951652806,4.43193882482924))!#18329=CARTESIAN_POINT('Origin',(-17.6558267716535,3.16984840716051E-62, -3.9203810801366E-15))!#18330=CARTESIAN_POINT('',(-14.1558267716535,1.79061951652806,4.43193882482924))!#18331=CARTESIAN_POINT('',(-12.8708267716535,1.79061951652806,4.43193882482924))!#18332=CARTESIAN_POINT('Origin',(-14.1558267716535,6.57305988724083E-62, -3.14322496289899E-15))!#18333=CARTESIAN_POINT('',(-13.2158267716535,4.70214041876883,-0.859229586420584))!#18334=CARTESIAN_POINT('',(-12.4344567664641,4.78,-2.73136307904931E-15))!#18335=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,4.70214041876883,-0.859229586420584))!#18336=CARTESIAN_POINT('Ctrl Pts',(-13.1635967775885,4.70214041876883,-0.859229586420584))!#18337=CARTESIAN_POINT('Ctrl Pts',(-13.1100012774657,4.7032354458721,-0.853304970564216))!#18338=CARTESIAN_POINT('Ctrl Pts',(-13.0043616721755,4.70758910844424,-0.828949828173712))!#18339=CARTESIAN_POINT('Ctrl Pts',(-12.9523153057175,4.71084213040532,-0.810528107626823))!#18340=CARTESIAN_POINT('Ctrl Pts',(-12.8535416871046,4.71883167964262,-0.762638074346354))!#18341=CARTESIAN_POINT('Ctrl Pts',(-12.8067111027228,4.72356611470781,-0.733126662463196))!#18342=CARTESIAN_POINT('Ctrl Pts',(-12.7210895907592,4.73349877746236,-0.665988638461805))!#18343=CARTESIAN_POINT('Ctrl Pts',(-12.6822985373815,4.73868578758293,-0.628360527677479))!#18344=CARTESIAN_POINT('Ctrl Pts',(-12.6152964569831,4.74836986252008,-0.550357374544826))!#18345=CARTESIAN_POINT('Ctrl Pts',(-12.5845481739879,4.75322268616467,-0.507377773113978))!#18346=CARTESIAN_POINT('Ctrl Pts',(-12.530702272268,4.76218604194369,-0.414900241933145))!#18347=CARTESIAN_POINT('Ctrl Pts',(-12.507600024505,4.7662892841978,-0.365404301126698))!#18348=CARTESIAN_POINT('Ctrl Pts',(-12.4706679011142,4.77303604279081,-0.262984357168242))!#18349=CARTESIAN_POINT('Ctrl Pts',(-12.4568156830315,4.77567920802683,-0.209995891694615))!#18350=CARTESIAN_POINT('Ctrl Pts',(-12.4387082006035,4.77916182260419,-0.104030985132697))!#18351=CARTESIAN_POINT('Ctrl Pts',(-12.4344567664641,4.78,-0.0510538477677653))!#18352=CARTESIAN_POINT('Ctrl Pts',(-12.4344567664641,4.78,-2.96132322513206E-15))!#18353=CARTESIAN_POINT('Ctrl Pts',(-12.4344567664641,4.78,-2.78648495353755E-15))!#18354=CARTESIAN_POINT('Ctrl Pts',(-12.4344567664641,4.78,0.0510538477677595))!#18355=CARTESIAN_POINT('Ctrl Pts',(-12.4387082006035,4.77916182260419,0.104030985132691))!#18356=CARTESIAN_POINT('Ctrl Pts',(-12.4568156830315,4.77567920802683,0.20999589169461))!#18357=CARTESIAN_POINT('Ctrl Pts',(-12.4706679011142,4.77303604279081,0.262984357168236))!#18358=CARTESIAN_POINT('Ctrl Pts',(-12.507600024505,4.7662892841978,0.365404301126692))!#18359=CARTESIAN_POINT('Ctrl Pts',(-12.530702272268,4.76218604194369,0.41490024193314))!#18360=CARTESIAN_POINT('Ctrl Pts',(-12.5845481739879,4.75322268616467,0.507377773113972))!#18361=CARTESIAN_POINT('Ctrl Pts',(-12.6152964569831,4.74836986252008,0.550357374544821))!#18362=CARTESIAN_POINT('Ctrl Pts',(-12.6822985373815,4.73868578758293,0.628360527677474))!#18363=CARTESIAN_POINT('Ctrl Pts',(-12.7210895907592,4.73349877746236,0.665988638461799))!#18364=CARTESIAN_POINT('Ctrl Pts',(-12.8067111027228,4.72356611470781,0.73312666246319))!#18365=CARTESIAN_POINT('Ctrl Pts',(-12.8535416871046,4.71883167964262,0.762638074346349))!#18366=CARTESIAN_POINT('Ctrl Pts',(-12.9523153057175,4.71084213040532,0.810528107626818))!#18367=CARTESIAN_POINT('Ctrl Pts',(-13.0043616721755,4.70758910844424,0.828949828173707))!#18368=CARTESIAN_POINT('Ctrl Pts',(-13.1100012774657,4.7032354458721,0.85330497056421))!#18369=CARTESIAN_POINT('Ctrl Pts',(-13.1635967775885,4.70214041876883,0.859229586420577))!#18370=CARTESIAN_POINT('Ctrl Pts',(-13.2680567657186,4.70214041876883,0.859229586420577))!#18371=CARTESIAN_POINT('Ctrl Pts',(-13.3216522658414,4.7032354458721,0.85330497056421))!#18372=CARTESIAN_POINT('Ctrl Pts',(-13.4272918711316,4.70758910844424,0.828949828173707))!#18373=CARTESIAN_POINT('Ctrl Pts',(-13.4793382375896,4.71084213040532,0.810528107626817))!#18374=CARTESIAN_POINT('Ctrl Pts',(-13.5781118562025,4.71883167964262,0.762638074346348))!#18375=CARTESIAN_POINT('Ctrl Pts',(-13.6249424405843,4.72356611470781,0.73312666246319))!#18376=CARTESIAN_POINT('Ctrl Pts',(-13.7105639525479,4.73349877746236,0.665988638461798))!#18377=CARTESIAN_POINT('Ctrl Pts',(-13.7493550059255,4.73868578758293,0.628360527677473))!#18378=CARTESIAN_POINT('Ctrl Pts',(-13.816357086324,4.74836986252008,0.550357374544821))!#18379=CARTESIAN_POINT('Ctrl Pts',(-13.8471053693192,4.75322268616467,0.507377773113972))!#18380=CARTESIAN_POINT('Ctrl Pts',(-13.9009512710391,4.76218604194369,0.414900241933139))!#18381=CARTESIAN_POINT('Ctrl Pts',(-13.9240535188021,4.7662892841978,0.365404301126692))!#18382=CARTESIAN_POINT('Ctrl Pts',(-13.9609856421929,4.77303604279081,0.262984357168236))!#18383=CARTESIAN_POINT('Ctrl Pts',(-13.9748378602756,4.77567920802683,0.20999589169461))!#18384=CARTESIAN_POINT('Ctrl Pts',(-13.9929453427036,4.77916182260419,0.104030985132691))!#18385=CARTESIAN_POINT('Ctrl Pts',(-13.997196776843,4.78,0.0510538477677594))!#18386=CARTESIAN_POINT('Ctrl Pts',(-13.997196776843,4.78,-0.0510538477677652))!#18387=CARTESIAN_POINT('Ctrl Pts',(-13.9929453427036,4.77916182260419,-0.104030985132697))!#18388=CARTESIAN_POINT('Ctrl Pts',(-13.9748378602756,4.77567920802683,-0.209995891694617))!#18389=CARTESIAN_POINT('Ctrl Pts',(-13.9609856421929,4.77303604279081,-0.262984357168244))!#18390=CARTESIAN_POINT('Ctrl Pts',(-13.9240535188021,4.7662892841978,-0.3654043011267))!#18391=CARTESIAN_POINT('Ctrl Pts',(-13.9009512710391,4.76218604194369,-0.414900241933146))!#18392=CARTESIAN_POINT('Ctrl Pts',(-13.8471053693192,4.75322268616467,-0.507377773113978))!#18393=CARTESIAN_POINT('Ctrl Pts',(-13.816357086324,4.74836986252008,-0.550357374544827))!#18394=CARTESIAN_POINT('Ctrl Pts',(-13.7493550059255,4.73868578758293,-0.628360527677479))!#18395=CARTESIAN_POINT('Ctrl Pts',(-13.7105639525479,4.73349877746236,-0.665988638461804))!#18396=CARTESIAN_POINT('Ctrl Pts',(-13.6249424405843,4.72356611470781,-0.733126662463196))!#18397=CARTESIAN_POINT('Ctrl Pts',(-13.5781118562025,4.71883167964262,-0.762638074346354))!#18398=CARTESIAN_POINT('Ctrl Pts',(-13.4793382375896,4.71084213040532,-0.810528107626823))!#18399=CARTESIAN_POINT('Ctrl Pts',(-13.4272918711316,4.70758910844424,-0.828949828173713))!#18400=CARTESIAN_POINT('Ctrl Pts',(-13.3216522658414,4.7032354458721,-0.853304970564216))!#18401=CARTESIAN_POINT('Ctrl Pts',(-13.2680567657186,4.70214041876883,-0.859229586420584))!#18402=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,4.70214041876883,-0.859229586420584))!#18403=CARTESIAN_POINT('',(-17.6458267716535,-4.20379503642705,2.27519390200292))!#18404=CARTESIAN_POINT('',(-9.64582677165354,-4.20379503642705,2.27519390200292))!#18405=CARTESIAN_POINT('',(-9.64582677165354,-4.20379503642705,2.27519390200292))!#18406=CARTESIAN_POINT('',(-9.64582677165354,-4.20379503642705,-2.27519390200293))!#18407=CARTESIAN_POINT('Origin',(-9.64582677165354,1.09583409658586E-61, -2.1418037946871E-15))!#18408=CARTESIAN_POINT('',(-17.6458267716535,-4.20379503642705,-2.27519390200293))!#18409=CARTESIAN_POINT('',(-9.64582677165354,-4.20379503642705,-2.27519390200293))!#18410=CARTESIAN_POINT('Origin',(-17.6458267716535,3.17957186853217E-62, -3.91816063408735E-15))!#18411=CARTESIAN_POINT('Origin',(-17.8258267716535,3.00454956384233E-62, -4.78))!#18412=CARTESIAN_POINT('',(-17.8258267716535,3.00454956384233E-62,-5.11000000000001))!#18413=CARTESIAN_POINT('',(-17.8258267716535,-6.25794514364298E-16,5.11))!#18414=CARTESIAN_POINT('Origin',(-17.8258267716535,3.00454956384233E-62, -3.95812866297386E-15))!#18415=CARTESIAN_POINT('Origin',(-17.8258267716535,3.00454956384233E-62, -3.95812866297386E-15))!#18416=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.25,3.04489786353293))!#18417=CARTESIAN_POINT('',(-17.6458267716535,-5.25,3.04489786353293))!#18418=CARTESIAN_POINT('',(-17.6458267716535,-5.25,4.75))!#18419=CARTESIAN_POINT('',(-9.64582677165354,-5.25,3.04489786353293))!#18420=CARTESIAN_POINT('',(-9.64582677165354,-5.25,3.04489786353293))!#18421=CARTESIAN_POINT('',(-9.64582677165355,-5.25,4.75))!#18422=CARTESIAN_POINT('Origin',(-9.64582677165355,-5.25,4.75))!#18423=CARTESIAN_POINT('',(-17.1458267716535,-5.041,4.75))!#18424=CARTESIAN_POINT('',(-17.1458267716535,-4.83226694347805,4.75))!#18425=CARTESIAN_POINT('',(-10.1458267716535,-5.041,4.75))!#18426=CARTESIAN_POINT('',(-10.1458267716535,-4.83226694347805,4.75))!#18427=CARTESIAN_POINT('',(-9.64582677165355,-5.041,4.75))!#18428=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,3.04489786353293))!#18429=CARTESIAN_POINT('',(-9.64582677165354,-4.9605,2.90546777352363))!#18430=CARTESIAN_POINT('',(-9.64582677165354,-5.041,3.04489786353293))!#18431=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,3.04489786353293))!#18432=CARTESIAN_POINT('',(-17.6458267716535,-4.9605,2.90546777352363))!#18433=CARTESIAN_POINT('',(-9.64582677165354,-4.9605,2.90546777352363))!#18434=CARTESIAN_POINT('',(-17.6458267716535,-5.041,3.04489786353293))!#18435=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.88,3.04489786353293))!#18436=CARTESIAN_POINT('',(-9.64582677165354,-5.041,3.04489786353293))!#18437=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.9605,2.90546777352363))!#18438=CARTESIAN_POINT('',(-9.64582677165354,-4.42709397315457,2.59750566033706))!#18439=CARTESIAN_POINT('',(-9.64582677165354,-4.42709397315457,2.59750566033706))!#18440=CARTESIAN_POINT('',(-17.6458267716535,-4.42709397315457,2.59750566033705))!#18441=CARTESIAN_POINT('',(-9.64582677165354,-4.42709397315457,2.59750566033706))!#18442=CARTESIAN_POINT('',(-17.6458267716535,-4.42709397315457,2.59750566033705))!#18443=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,-2.2))!#18444=CARTESIAN_POINT('',(-9.64582677165354,-4.42709397315457,-2.59750566033706))!#18445=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,-2.2))!#18446=CARTESIAN_POINT('',(-17.6458267716535,-4.42709397315457,-2.59750566033706))!#18447=CARTESIAN_POINT('',(-9.64582677165354,-4.42709397315457,-2.59750566033706))!#18448=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.65659397315457,-2.2))!#18449=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.42709397315457,-2.59750566033706))!#18450=CARTESIAN_POINT('',(-9.64582677165354,-4.9605,-2.90546777352364))!#18451=CARTESIAN_POINT('',(-9.64582677165354,-4.9605,-2.90546777352364))!#18452=CARTESIAN_POINT('',(-17.6458267716535,-4.9605,-2.90546777352364))!#18453=CARTESIAN_POINT('',(-9.64582677165354,-4.9605,-2.90546777352364))!#18454=CARTESIAN_POINT('',(-17.6458267716535,-4.9605,-2.90546777352364))!#18455=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,-3.04489786353293))!#18456=CARTESIAN_POINT('',(-9.64582677165354,-5.041,-3.04489786353293))!#18457=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,-3.04489786353293))!#18458=CARTESIAN_POINT('',(-17.6458267716535,-5.041,-3.04489786353293))!#18459=CARTESIAN_POINT('',(-9.64582677165354,-5.041,-3.04489786353293))!#18460=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.88,-3.04489786353293))!#18461=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.041,-3.04489786353293))!#18462=CARTESIAN_POINT('',(-17.6458267716535,-5.041,-4.25))!#18463=CARTESIAN_POINT('',(-17.1458267716535,-5.041,-4.75))!#18464=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.041,-4.25))!#18465=CARTESIAN_POINT('',(-17.6458267716535,-5.041,-4.75))!#18466=CARTESIAN_POINT('',(-9.64582677165354,-5.041,-4.25))!#18467=CARTESIAN_POINT('',(-9.64582677165354,-5.041,-4.75))!#18468=CARTESIAN_POINT('',(-10.1458267716535,-5.041,-4.75))!#18469=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.041,-4.25))!#18470=CARTESIAN_POINT('',(-9.64582677165354,-5.041,-4.75))!#18471=CARTESIAN_POINT('',(-9.86457677165354,-5.04100000000001,-4.41237976320959))!#18472=CARTESIAN_POINT('',(-9.86457677165354,-5.04100000000001,-4.08762023679043))!#18473=CARTESIAN_POINT('',(-9.86457677165354,-5.041,-3.72863881337126))!#18474=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,-3.92524047358085))!#18475=CARTESIAN_POINT('',(-10.0083015377374,-5.041,-4.0046407044027))!#18476=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,-4.08762023679043))!#18477=CARTESIAN_POINT('',(-9.76772700556969,-5.041,-3.7069444718518))!#18478=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,-4.41237976320959))!#18479=CARTESIAN_POINT('',(-10.4270767716535,-5.041,-3.56625905016168))!#18480=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,-4.57475952641918))!#18481=CARTESIAN_POINT('',(-10.4301765377374,-5.041,-4.41059011242666))!#18482=CARTESIAN_POINT('',(-9.62710200556969,-5.041,-4.27527364308534))!#18483=CARTESIAN_POINT('',(-15.4408267716535,-5.041,-4.25))!#18484=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.041,-4.25))!#18485=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.041,-4.75))!#18486=CARTESIAN_POINT('',(-17.1458267716535,-4.72776694347805,-4.75))!#18487=CARTESIAN_POINT('',(-10.1458267716535,-4.72776694347805,-4.75))!#18488=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,-3.04489786353293))!#18489=CARTESIAN_POINT('',(-9.64582677165354,-5.065,-2.72446846413269))!#18490=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,-3.04489786353293))!#18491=CARTESIAN_POINT('',(-17.6458267716535,-5.065,-2.72446846413269))!#18492=CARTESIAN_POINT('',(-9.64582677165354,-5.065,-2.72446846413269))!#18493=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.88,-3.04489786353293))!#18494=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.065,-2.72446846413269))!#18495=CARTESIAN_POINT('',(-9.64582677165354,-4.53159397315457,-2.41650635094611))!#18496=CARTESIAN_POINT('',(-9.64582677165354,-4.53159397315457,-2.41650635094611))!#18497=CARTESIAN_POINT('',(-17.6458267716535,-4.53159397315457,-2.41650635094611))!#18498=CARTESIAN_POINT('',(-9.64582677165354,-4.53159397315457,-2.41650635094611))!#18499=CARTESIAN_POINT('',(-17.6458267716535,-4.53159397315457,-2.41650635094611))!#18500=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,-2.2))!#18501=CARTESIAN_POINT('',(-9.64582677165354,-4.45180596208232,-2.05660589091224))!#18502=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,-2.2))!#18503=CARTESIAN_POINT('',(-17.6458267716535,-4.45180596208232,-2.05660589091224))!#18504=CARTESIAN_POINT('',(-9.64582677165354,-4.45180596208232,-2.05660589091224))!#18505=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.65659397315457,-2.2))!#18506=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.25,-0.916666666666669))!#18507=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-0.664427635563483))!#18508=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-0.664427635563485))!#18509=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-0.664427635563483))!#18510=CARTESIAN_POINT('',(-9.64582677165354,-5.25,0.664427635563478))!#18511=CARTESIAN_POINT('',(-9.64582677165354,-5.25,0.916666666666665))!#18512=CARTESIAN_POINT('',(-17.6458267716535,-5.25,0.664427635563477))!#18513=CARTESIAN_POINT('',(-9.64582677165354,-5.25,0.664427635563478))!#18514=CARTESIAN_POINT('',(-17.6458267716535,-5.25,0.916666666666663))!#18515=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,2.2))!#18516=CARTESIAN_POINT('',(-9.64582677165354,-4.53159397315457,2.41650635094611))!#18517=CARTESIAN_POINT('',(-9.64582677165354,-4.45180596208232,2.05660589091224))!#18518=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,2.2))!#18519=CARTESIAN_POINT('',(-17.6458267716535,-4.53159397315457,2.41650635094611))!#18520=CARTESIAN_POINT('',(-9.64582677165354,-4.53159397315457,2.41650635094611))!#18521=CARTESIAN_POINT('',(-17.6458267716535,-4.45180596208232,2.05660589091223))!#18522=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.65659397315457,2.2))!#18523=CARTESIAN_POINT('',(-9.64582677165354,-4.45180596208232,2.05660589091224))!#18524=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.53159397315457,2.41650635094611))!#18525=CARTESIAN_POINT('',(-9.64582677165354,-5.065,2.72446846413268))!#18526=CARTESIAN_POINT('',(-9.64582677165354,-5.065,2.72446846413268))!#18527=CARTESIAN_POINT('',(-17.6458267716535,-5.065,2.72446846413268))!#18528=CARTESIAN_POINT('',(-9.64582677165354,-5.065,2.72446846413268))!#18529=CARTESIAN_POINT('',(-17.6458267716535,-5.065,2.72446846413268))!#18530=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,3.04489786353293))!#18531=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.88,3.04489786353293))!#18532=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.88,3.04489786353293))!#18533=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,2.2))!#18534=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.65659397315457,2.2))!#18535=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.65659397315457,2.2))!#18536=CARTESIAN_POINT('Origin',(-14.1558267716535,2.40612169981828E-17, 6.36737454251563))!#18537=CARTESIAN_POINT('',(-14.1558267716535,-1.36,4.68666192508058))!#18538=CARTESIAN_POINT('',(-14.1558267716535,-1.82808017586965,4.52465721028592))!#18539=CARTESIAN_POINT('Origin',(-14.1558267716535,6.57305988724083E-62, -3.14322496289899E-15))!#18540=CARTESIAN_POINT('',(-14.1558267716535,-1.79061951652806,4.43193882482924))!#18541=CARTESIAN_POINT('',(-14.1558267716535,1.82808017586965,4.52465721028592))!#18542=CARTESIAN_POINT('',(-14.1558267716535,1.82808017586965,4.52465721028592))!#18543=CARTESIAN_POINT('',(-14.1558267716535,1.36,4.68666192508058))!#18544=CARTESIAN_POINT('Origin',(-14.1558267716535,6.57305988724083E-62, -3.14322496289899E-15))!#18545=CARTESIAN_POINT('',(-14.1558267716535,1.36,5.36666666666666))!#18546=CARTESIAN_POINT('',(-14.1558267716535,1.36,5.48707065228669))!#18547=CARTESIAN_POINT('',(-14.1558267716535,1.7625,5.36666666666666))!#18548=CARTESIAN_POINT('',(-14.1558267716535,2.0125,5.36666666666666))!#18549=CARTESIAN_POINT('',(-14.1558267716535,1.7625,7.925))!#18550=CARTESIAN_POINT('',(-14.1558267716535,1.7625,5.86702060459115))!#18551=CARTESIAN_POINT('',(-14.1558267716535,-1.7625,7.925))!#18552=CARTESIAN_POINT('',(-14.1558267716535,1.00625,7.925))!#18553=CARTESIAN_POINT('',(-14.1558267716535,-1.7625,5.36666666666666))!#18554=CARTESIAN_POINT('',(-14.1558267716535,-1.7625,7.20868727125782))!#18555=CARTESIAN_POINT('',(-14.1558267716535,-1.36,5.36666666666666))!#18556=CARTESIAN_POINT('',(-14.1558267716535,-1.61,5.36666666666666))!#18557=CARTESIAN_POINT('',(-14.1558267716535,-1.36,5.86702060459115))!#18558=CARTESIAN_POINT('Origin',(-15.9058267716535,1.79061951652806,4.43193882482924))!#18559=CARTESIAN_POINT('',(-17.6558267716535,1.82808017586965,4.52465721028592))!#18560=CARTESIAN_POINT('',(-15.9058267716535,1.82808017586965,4.52465721028592))!#18561=CARTESIAN_POINT('',(-17.6558267716535,1.82808017586965,4.52465721028592))!#18562=CARTESIAN_POINT('Origin',(-15.9058267716535,4.87145414720067E-62, -3.5318030215178E-15))!#18563=CARTESIAN_POINT('',(-17.6558267716535,1.36,4.68666192508057))!#18564=CARTESIAN_POINT('Origin',(-17.6558267716535,3.16984840716051E-62, -3.9203810801366E-15))!#18565=CARTESIAN_POINT('',(-17.4058267716535,1.61,4.60676676205774))!#18566=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,1.36,4.68666192508057))!#18567=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,1.39165840548132,4.67747512386835))!#18568=CARTESIAN_POINT('Ctrl Pts',(-17.649461960382,1.42539390308479,4.667302453264))!#18569=CARTESIAN_POINT('Ctrl Pts',(-17.6234683978628,1.48754054174279,4.64786866272399))!#18570=CARTESIAN_POINT('Ctrl Pts',(-17.6038380605767,1.51595634051173,4.63862456296555))!#18571=CARTESIAN_POINT('Ctrl Pts',(-17.5591223630401,1.55983282550876,4.62404904367883))!#18572=CARTESIAN_POINT('Ctrl Pts',(-17.5314519874382,1.57864327249025,4.617625094286))!#18573=CARTESIAN_POINT('Ctrl Pts',(-17.4704863400657,1.60373287406489,4.60897135629298))!#18574=CARTESIAN_POINT('Ctrl Pts',(-17.4371825453536,1.61,4.60676676205774))!#18575=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,1.61,4.60676676205774))!#18576=CARTESIAN_POINT('',(-14.4058267716535,1.61,4.60676676205774))!#18577=CARTESIAN_POINT('',(-15.9058267716535,1.61,4.60676676205774))!#18578=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,1.61,4.60676676205774))!#18579=CARTESIAN_POINT('Ctrl Pts',(-14.3744709979535,1.61,4.60676676205774))!#18580=CARTESIAN_POINT('Ctrl Pts',(-14.3411672032414,1.60373287406489,4.60897135629298))!#18581=CARTESIAN_POINT('Ctrl Pts',(-14.2802015558689,1.57864327249025,4.617625094286))!#18582=CARTESIAN_POINT('Ctrl Pts',(-14.2525311802669,1.55983282550876,4.62404904367883))!#18583=CARTESIAN_POINT('Ctrl Pts',(-14.2078154827304,1.51595634051173,4.63862456296555))!#18584=CARTESIAN_POINT('Ctrl Pts',(-14.1881851454443,1.48754054174279,4.64786866272399))!#18585=CARTESIAN_POINT('Ctrl Pts',(-14.1621915829251,1.42539390308479,4.667302453264))!#18586=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,1.39165840548132,4.67747512386835))!#18587=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,1.36,4.68666192508058))!#18588=CARTESIAN_POINT('Origin',(-15.9058267716535,1.61,5.36666666666666))!#18589=CARTESIAN_POINT('',(-14.4058267716535,2.0125,5.36666666666666))!#18590=CARTESIAN_POINT('Origin',(-14.4058267716535,1.7625,5.36666666666666))!#18591=CARTESIAN_POINT('',(-14.4058267716535,1.61,5.36666666666666))!#18592=CARTESIAN_POINT('Origin',(-14.4058267716535,1.36,5.36666666666666))!#18593=CARTESIAN_POINT('',(-17.4058267716535,1.61,5.36666666666666))!#18594=CARTESIAN_POINT('',(-15.9058267716535,1.61,5.36666666666666))!#18595=CARTESIAN_POINT('',(-17.6558267716535,1.36,5.36666666666666))!#18596=CARTESIAN_POINT('Origin',(-17.4058267716535,1.36,5.36666666666666))!#18597=CARTESIAN_POINT('',(-17.6558267716535,1.7625,5.36666666666666))!#18598=CARTESIAN_POINT('',(-17.6558267716535,2.0125,5.36666666666666))!#18599=CARTESIAN_POINT('',(-17.4058267716535,2.0125,5.36666666666666))!#18600=CARTESIAN_POINT('Origin',(-17.4058267716535,1.7625,5.36666666666666))!#18601=CARTESIAN_POINT('',(-15.9058267716535,2.0125,5.36666666666666))!#18602=CARTESIAN_POINT('Origin',(-15.9058267716535,2.0125,5.36666666666666))!#18603=CARTESIAN_POINT('',(-14.4058267716535,2.0125,7.925))!#18604=CARTESIAN_POINT('',(-14.4058267716535,2.0125,5.86702060459115))!#18605=CARTESIAN_POINT('',(-17.4058267716535,2.0125,7.925))!#18606=CARTESIAN_POINT('',(-17.4058267716535,2.0125,5.86702060459115))!#18607=CARTESIAN_POINT('',(-15.9058267716535,2.0125,7.925))!#18608=CARTESIAN_POINT('Origin',(-15.9058267716535,4.87145414720067E-62, -3.5318030215178E-15))!#18609=CARTESIAN_POINT('',(-17.6558267716535,-1.82808017586965,4.52465721028592))!#18610=CARTESIAN_POINT('',(-15.9058267716535,-1.82808017586965,4.52465721028592))!#18611=CARTESIAN_POINT('',(-14.4058267716535,-1.61,4.60676676205774))!#18612=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-1.36,4.68666192508058))!#18613=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-1.39165840548132, 4.67747512386836))!#18614=CARTESIAN_POINT('Ctrl Pts',(-14.1621915829251,-1.42539390308479, 4.667302453264))!#18615=CARTESIAN_POINT('Ctrl Pts',(-14.1881851454443,-1.48754054174278, 4.64786866272399))!#18616=CARTESIAN_POINT('Ctrl Pts',(-14.2078154827304,-1.51595634051173, 4.63862456296555))!#18617=CARTESIAN_POINT('Ctrl Pts',(-14.2525311802669,-1.55983282550876, 4.62404904367883))!#18618=CARTESIAN_POINT('Ctrl Pts',(-14.2802015558689,-1.57864327249025, 4.617625094286))!#18619=CARTESIAN_POINT('Ctrl Pts',(-14.3411672032414,-1.60373287406489, 4.60897135629299))!#18620=CARTESIAN_POINT('Ctrl Pts',(-14.3744709979535,-1.61,4.60676676205774))!#18621=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,-1.61,4.60676676205774))!#18622=CARTESIAN_POINT('',(-17.4058267716535,-1.61,4.60676676205774))!#18623=CARTESIAN_POINT('',(-15.9058267716535,-1.61,4.60676676205774))!#18624=CARTESIAN_POINT('',(-17.6558267716535,-1.36,4.68666192508057))!#18625=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,-1.61,4.60676676205774))!#18626=CARTESIAN_POINT('Ctrl Pts',(-17.4371825453536,-1.61,4.60676676205774))!#18627=CARTESIAN_POINT('Ctrl Pts',(-17.4704863400657,-1.60373287406489, 4.60897135629299))!#18628=CARTESIAN_POINT('Ctrl Pts',(-17.5314519874382,-1.57864327249025, 4.617625094286))!#18629=CARTESIAN_POINT('Ctrl Pts',(-17.5591223630401,-1.55983282550876, 4.62404904367883))!#18630=CARTESIAN_POINT('Ctrl Pts',(-17.6038380605767,-1.51595634051173, 4.63862456296555))!#18631=CARTESIAN_POINT('Ctrl Pts',(-17.6234683978628,-1.48754054174279, 4.64786866272399))!#18632=CARTESIAN_POINT('Ctrl Pts',(-17.649461960382,-1.42539390308479,4.667302453264))!#18633=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-1.39165840548132, 4.67747512386835))!#18634=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-1.36,4.68666192508057))!#18635=CARTESIAN_POINT('Origin',(-17.6558267716535,3.16984840716051E-62, -3.9203810801366E-15))!#18636=CARTESIAN_POINT('Origin',(-15.9058267716535,-1.82808017586965,4.52465721028592))!#18637=CARTESIAN_POINT('',(-17.6558267716535,-1.79061951652806,4.43193882482924))!#18638=CARTESIAN_POINT('Origin',(-13.7491428069416,5.0280539699014,-3.05292298262517E-15))!#18639=CARTESIAN_POINT('',(-13.7491428069416,5.0280539699014,-3.05292298262517E-15))!#18640=CARTESIAN_POINT('',(-12.6825107363655,5.0280539699014,-2.75077070835881E-15))!#18641=CARTESIAN_POINT('Origin',(-13.2158267716535,5.0280539699014,-2.93450303426946E-15))!#18642=CARTESIAN_POINT('Origin',(-13.2158267716535,5.0280539699014,-2.93450303426946E-15))!#18643=CARTESIAN_POINT('',(-13.4548267716535,5.0280539699014,-2.98757169484655E-15))!#18644=CARTESIAN_POINT('',(-12.9768267716535,5.0280539699014,-2.85216531519276E-15))!#18645=CARTESIAN_POINT('Origin',(-13.2158267716535,5.0280539699014,-2.93450303426946E-15))!#18646=CARTESIAN_POINT('Origin',(-13.2158267716535,5.0280539699014,-2.93450303426946E-15))!#18647=CARTESIAN_POINT('Origin',(-13.2158267716535,5.2027769849507,-2.93450303426946E-15))!#18648=CARTESIAN_POINT('',(-12.9768267716535,5.3775,-2.85216531519276E-15))!#18649=CARTESIAN_POINT('',(-12.9768267716535,5.2027769849507,-2.85216531519276E-15))!#18650=CARTESIAN_POINT('',(-13.4548267716535,5.3775,-2.98757169484655E-15))!#18651=CARTESIAN_POINT('Origin',(-13.2158267716535,5.3775,-2.93450303426946E-15))!#18652=CARTESIAN_POINT('Origin',(-13.2158267716535,5.3775,-2.93450303426946E-15))!#18653=CARTESIAN_POINT('Origin',(-13.4548267716535,5.3775,-2.98757169484655E-15))!#18654=CARTESIAN_POINT('',(-13.3290372979693,5.3775,-2.95964082085861E-15))!#18655=CARTESIAN_POINT('',(-13.1026162453378,5.3775,-2.89550095681208E-15))!#18656=CARTESIAN_POINT('Origin',(-13.2158267716535,5.3775,-2.93450303426946E-15))!#18657=CARTESIAN_POINT('Origin',(-13.2158267716535,5.3775,-2.93450303426946E-15))!#18658=CARTESIAN_POINT('Origin',(-13.2158267716535,5.50553571428571,-2.93450303426946E-15))!#18659=CARTESIAN_POINT('',(-13.1026162453378,5.55795051478646,-2.88572696607107E-15))!#18660=CARTESIAN_POINT('',(-13.1026162453378,5.50553571428571,-2.89550095681208E-15))!#18661=CARTESIAN_POINT('',(-13.2158267716535,5.59913037861925,0.113210526315786))!#18662=CARTESIAN_POINT('Ctrl Pts',(-13.1026162453378,5.55795051478646,-2.78102125755022E-15))!#18663=CARTESIAN_POINT('Ctrl Pts',(-13.1026162453378,5.55795051478646,0.00831428758323453))!#18664=CARTESIAN_POINT('Ctrl Pts',(-13.1035310799889,5.5584987893218,0.0165904727566245))!#18665=CARTESIAN_POINT('Ctrl Pts',(-13.1081811326254,5.56124622640725,0.0373957191688147))!#18666=CARTESIAN_POINT('Ctrl Pts',(-13.1131220638192,5.5641569943809,0.0492555508949357))!#18667=CARTESIAN_POINT('Ctrl Pts',(-13.1273610059872,5.57206837781569,0.0720850272810193))!#18668=CARTESIAN_POINT('Ctrl Pts',(-13.1370923443429,5.57727801578573,0.0825369873111592))!#18669=CARTESIAN_POINT('Ctrl Pts',(-13.1593857547012,5.58737611898487,0.0991058274862961))!#18670=CARTESIAN_POINT('Ctrl Pts',(-13.1720736182891,5.59233540865355,0.105380593855354))!#18671=CARTESIAN_POINT('Ctrl Pts',(-13.195475964561,5.59780597331768,0.111817223809603))!#18672=CARTESIAN_POINT('Ctrl Pts',(-13.2055147805585,5.59913037861925,0.113210526315786))!#18673=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,5.59913037861925,0.113210526315786))!#18674=CARTESIAN_POINT('',(-13.2158267716535,5.59913037861925,-0.113210526315792))!#18675=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,5.59913037861925,0.113210526315786))!#18676=CARTESIAN_POINT('Ctrl Pts',(-13.2268921621014,5.59913037861925,0.113210526315786))!#18677=CARTESIAN_POINT('Ctrl Pts',(-13.2376159674919,5.59760202866237,0.111607476889881))!#18678=CARTESIAN_POINT('Ctrl Pts',(-13.261753441244,5.59161411844968,0.104483533591723))!#18679=CARTESIAN_POINT('Ctrl Pts',(-13.2747874787236,5.58636104074915,0.0977423978779054))!#18680=CARTESIAN_POINT('Ctrl Pts',(-13.2980361365736,5.57553654634255,0.0792822612251398))!#18681=CARTESIAN_POINT('Ctrl Pts',(-13.3080263795317,5.57004691799598,0.0675010464912868))!#18682=CARTESIAN_POINT('Ctrl Pts',(-13.3217482009675,5.56229267666011,0.0421314357767555))!#18683=CARTESIAN_POINT('Ctrl Pts',(-13.3260527505009,5.55972533232865,0.0292369940572172))!#18684=CARTESIAN_POINT('Ctrl Pts',(-13.3297322668152,5.55753872995228,0.00289155509505946))!#18685=CARTESIAN_POINT('Ctrl Pts',(-13.3293184767125,5.55778946979057,-0.0100165383991891))!#18686=CARTESIAN_POINT('Ctrl Pts',(-13.3241794368253,5.56083074906289,-0.0352779893465739))!#18687=CARTESIAN_POINT('Ctrl Pts',(-13.3194899706135,5.56360142114413,-0.047195979830999))!#18688=CARTESIAN_POINT('Ctrl Pts',(-13.3057830931966,5.57126218731256,-0.0701962849551118))!#18689=CARTESIAN_POINT('Ctrl Pts',(-13.2963561755751,5.57635440498448,-0.0807659749503845))!#18690=CARTESIAN_POINT('Ctrl Pts',(-13.2742049065186,5.58659285889432,-0.0980393921945782))!#18691=CARTESIAN_POINT('Ctrl Pts',(-13.2614901419463,5.59166657744833,-0.104542859108458))!#18692=CARTESIAN_POINT('Ctrl Pts',(-13.2376624768042,5.59760024565217,-0.111607696736751))!#18693=CARTESIAN_POINT('Ctrl Pts',(-13.2268922989683,5.59913037861925,-0.113210526315792))!#18694=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,5.59913037861925,-0.113210526315792))!#18695=CARTESIAN_POINT('Ctrl Pts',(-13.2158267716535,5.59913037861925,-0.113210526315792))!#18696=CARTESIAN_POINT('Ctrl Pts',(-13.2150879736817,5.59913037861925,-0.113210526315792))!#18697=CARTESIAN_POINT('Ctrl Pts',(-13.2143478590504,5.59912372021538,-0.113203294832155))!#18698=CARTESIAN_POINT('Ctrl Pts',(-13.2025285260512,5.59891038702179,-0.112971447344589))!#18699=CARTESIAN_POINT('Ctrl Pts',(-13.1918272041932,5.59718856498648,-0.111151478785846))!#18700=CARTESIAN_POINT('Ctrl Pts',(-13.1677106036285,5.59084876476052,-0.103514201965578))!#18701=CARTESIAN_POINT('Ctrl Pts',(-13.1546177279892,5.58541196452451,-0.096396014009318))!#18702=CARTESIAN_POINT('Ctrl Pts',(-13.1317179658109,5.57453268914338,-0.0772392879312203))!#18703=CARTESIAN_POINT('Ctrl Pts',(-13.1220902149722,5.56919798124885,-0.0653247356694615))!#18704=CARTESIAN_POINT('Ctrl Pts',(-13.1090163474728,5.56177005283235,-0.0398034661699721))!#18705=CARTESIAN_POINT('Ctrl Pts',(-13.1050147887505,5.55937798175547,-0.0268886100680564))!#18706=CARTESIAN_POINT('Ctrl Pts',(-13.1028783315992,5.55810705941242,-0.00890801130018494))!#18707=CARTESIAN_POINT('Ctrl Pts',(-13.1026162453378,5.55795051478646,-0.00444855168902335))!#18708=CARTESIAN_POINT('Ctrl Pts',(-13.1026162453378,5.55795051478646,-2.84248783740767E-15))!#18709=CARTESIAN_POINT('Origin',(-13.2158267716535,4.77274091306704,-2.93450303426946E-15))!#18710=CARTESIAN_POINT('',(-12.4271976795312,4.77274091306704,-2.66281298973855E-15))!#18711=CARTESIAN_POINT('Origin',(-13.2158267716535,6.36,-2.93450303426946E-15))!#18712=CARTESIAN_POINT('',(-13.2158267716535,5.86,-2.91552100888268E-15))!#18713=CARTESIAN_POINT('Origin',(-13.2158267716535,5.705,-2.93450303426946E-15))!#18714=CARTESIAN_POINT('Origin',(-13.2158267716535,5.705,-2.93450303426946E-15))!#18715=CARTESIAN_POINT('Origin',(-13.2158267716535,6.36,-2.91552100888268E-15))!#18716=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.,4.25))!#18717=CARTESIAN_POINT('',(-15.4408267716535,-5.041,4.25))!#18718=CARTESIAN_POINT('',(-15.4408267716535,-5.,4.25))!#18719=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.041,4.25))!#18720=CARTESIAN_POINT('Origin',(-15.6458267716535,-5.,-4.25))!#18721=CARTESIAN_POINT('',(-15.4408267716535,-5.,-4.25))!#18722=CARTESIAN_POINT('Origin',(-19.3708267716535,1.50227478192116E-62, -4.30118757758303E-15))!#18723=CARTESIAN_POINT('',(-20.1898267716535,-6.25794514364298E-16,5.11))!#18724=CARTESIAN_POINT('',(-19.3708267716535,-6.25794514364298E-16,5.11))!#18725=CARTESIAN_POINT('',(-20.1898267716535,-1.56448628591074E-15,-5.11))!#18726=CARTESIAN_POINT('Origin',(-20.1898267716535,7.05923295582368E-63, -4.48304210901663E-15))!#18727=CARTESIAN_POINT('',(-20.1898267716535,5.11,-3.85724759465233E-15))!#18728=CARTESIAN_POINT('Origin',(-20.1898267716535,7.05923295582368E-63, -4.48304210901663E-15))!#18729=CARTESIAN_POINT('Origin',(-20.1898267716535,7.05923295582368E-63, -4.48304210901663E-15))!#18730=CARTESIAN_POINT('Origin',(-20.9158267716535,-5.44010617643745E-77, -5.11))!#18731=CARTESIAN_POINT('',(-20.9158267716535,-2.684425783731E-16,-4.38400000000001))!#18732=CARTESIAN_POINT('',(-20.9158267716535,4.384,-4.107361335446E-15))!#18733=CARTESIAN_POINT('Origin',(-20.9158267716535,-5.44010617643745E-77, -4.6442464921922E-15))!#18734=CARTESIAN_POINT('Origin',(-20.9158267716535,-5.44010617643745E-77, -4.6442464921922E-15))!#18735=CARTESIAN_POINT('Origin',(-20.1898267716535,7.05923295582368E-63, -4.48304210901663E-15))!#18736=CARTESIAN_POINT('Origin',(-20.1898267716535,4.384,-3.94615695227043E-15))!#18737=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83226694347805,4.25))!#18738=CARTESIAN_POINT('',(-9.64582677165355,-5.041,4.25))!#18739=CARTESIAN_POINT('',(-9.64582677165354,-4.83226694347805,4.25))!#18740=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.041,4.25))!#18741=CARTESIAN_POINT('Origin',(-9.64582677165355,-5.041,4.75))!#18742=CARTESIAN_POINT('',(-17.6458267716535,-5.041,4.25))!#18743=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.041,4.25))!#18744=CARTESIAN_POINT('',(-9.64582677165354,-5.041,3.04489786353293))!#18745=CARTESIAN_POINT('',(-17.6458267716535,-5.041,3.04489786353293))!#18746=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,4.08762023679042))!#18747=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,4.41237976320959))!#18748=CARTESIAN_POINT('',(-16.8645767716535,-5.041,4.41881011839521))!#18749=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,4.57475952641917))!#18750=CARTESIAN_POINT('',(-14.2306424471266,-5.041,2.89167707191616))!#18751=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,4.41237976320959))!#18752=CARTESIAN_POINT('',(-14.2953860961805,-5.041,6.2204622177126))!#18753=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,4.08762023679042))!#18754=CARTESIAN_POINT('',(-17.4270767716535,-5.041,4.58118988160479))!#18755=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,3.92524047358084))!#18756=CARTESIAN_POINT('',(-14.6525174471266,-5.041,2.48572766389221))!#18757=CARTESIAN_POINT('',(-14.1547610961805,-5.041,5.65213304647906))!#18758=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83226694347805,4.25))!#18759=CARTESIAN_POINT('',(-17.6458267716535,-4.83226694347805,4.25))!#18760=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.72776694347805,-4.25))!#18761=CARTESIAN_POINT('',(-9.64582677165354,-4.72776694347805,-4.25))!#18762=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.72776694347805,-4.25))!#18763=CARTESIAN_POINT('',(-17.6458267716535,-4.72776694347805,-4.25))!#18764=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.41453388695609,-2.19398104000141E-15))!#18765=CARTESIAN_POINT('',(-9.64582677165354,-5.10532163543119,-1.12328878464432))!#18766=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.45,-0.664427635563483))!#18767=CARTESIAN_POINT('',(-9.64582677165354,-5.25,-0.916666666666669))!#18768=CARTESIAN_POINT('',(-9.64582677165354,-5.10532163543119,1.12328878464431))!#18769=CARTESIAN_POINT('',(-9.64582677165354,-4.45180596208232,2.05660589091224))!#18770=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.45,0.664427635563478))!#18771=CARTESIAN_POINT('Origin',(-9.64582677165354,-5.25,0.916666666666665))!#18772=CARTESIAN_POINT('',(-17.6458267716535,-5.10532163543119,1.12328878464431))!#18773=CARTESIAN_POINT('',(-9.64582677165354,-5.10532163543119,1.12328878464431))!#18774=CARTESIAN_POINT('',(-17.6458267716535,-4.45180596208232,2.05660589091223))!#18775=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.45,0.664427635563478))!#18776=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.45,0.664427635563477))!#18777=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.41453388695609,-3.97033787940166E-15))!#18778=CARTESIAN_POINT('',(-17.6458267716535,-5.10532163543119,-1.12328878464432))!#18779=CARTESIAN_POINT('Origin',(-17.6458267716535,-4.45,-0.664427635563485))!#18780=CARTESIAN_POINT('',(-17.6458267716535,-5.25,-0.916666666666671))!#18781=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.45180596208232,-2.05660589091224))!#18782=CARTESIAN_POINT('',(-9.64582677165354,-5.10532163543119,-1.12328878464432))!#18783=CARTESIAN_POINT('Origin',(-9.64582677165354,-4.45,-0.664427635563483))!#18784=CARTESIAN_POINT('Origin',(-15.9058267716535,2.0125,8.05))!#18785=CARTESIAN_POINT('',(-14.4058267716535,1.8875,8.05))!#18786=CARTESIAN_POINT('',(-14.2808267716535,1.7625,8.05))!#18787=CARTESIAN_POINT('Origin',(-14.4058267716535,1.7625,8.05))!#18788=CARTESIAN_POINT('',(-17.4058267716535,1.8875,8.05))!#18789=CARTESIAN_POINT('',(-15.9058267716535,1.8875,8.05))!#18790=CARTESIAN_POINT('',(-17.5308267716535,1.7625,8.05))!#18791=CARTESIAN_POINT('Origin',(-17.4058267716535,1.7625,8.05))!#18792=CARTESIAN_POINT('',(-17.5308267716535,-1.7625,8.05))!#18793=CARTESIAN_POINT('',(-17.5308267716535,1.00625,8.05))!#18794=CARTESIAN_POINT('',(-17.4058267716535,-1.8875,8.05))!#18795=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.7625,8.05))!#18796=CARTESIAN_POINT('',(-14.4058267716535,-1.8875,8.05))!#18797=CARTESIAN_POINT('',(-15.9058267716535,-1.8875,8.05))!#18798=CARTESIAN_POINT('',(-14.2808267716535,-1.7625,8.05))!#18799=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.7625,8.05))!#18800=CARTESIAN_POINT('',(-14.2808267716535,1.00625,8.05))!#18801=CARTESIAN_POINT('Origin',(-17.6558267716535,2.40612169981828E-17, 6.36737454251563))!#18802=CARTESIAN_POINT('',(-17.6558267716535,-1.36,5.36666666666666))!#18803=CARTESIAN_POINT('',(-17.6558267716535,-1.7625,5.36666666666666))!#18804=CARTESIAN_POINT('',(-17.6558267716535,-1.61,5.36666666666666))!#18805=CARTESIAN_POINT('',(-17.6558267716535,-1.7625,7.925))!#18806=CARTESIAN_POINT('',(-17.6558267716535,-1.7625,7.20868727125781))!#18807=CARTESIAN_POINT('',(-17.6558267716535,1.7625,7.925))!#18808=CARTESIAN_POINT('',(-17.6558267716535,1.00625,7.925))!#18809=CARTESIAN_POINT('',(-17.6558267716535,1.7625,5.86702060459115))!#18810=CARTESIAN_POINT('',(-17.6558267716535,1.36,5.48707065228669))!#18811=CARTESIAN_POINT('',(-17.6558267716535,-1.36,5.86702060459115))!#18812=CARTESIAN_POINT('Origin',(-15.9058267716535,-2.0125,5.36666666666666))!#18813=CARTESIAN_POINT('',(-14.4058267716535,-2.0125,5.36666666666666))!#18814=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.7625,5.36666666666666))!#18815=CARTESIAN_POINT('',(-17.4058267716535,-2.0125,5.36666666666666))!#18816=CARTESIAN_POINT('',(-15.9058267716535,-2.0125,5.36666666666666))!#18817=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.7625,5.36666666666666))!#18818=CARTESIAN_POINT('',(-17.4058267716535,-1.61,5.36666666666666))!#18819=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.36,5.36666666666666))!#18820=CARTESIAN_POINT('',(-14.4058267716535,-1.61,5.36666666666666))!#18821=CARTESIAN_POINT('',(-15.9058267716535,-1.61,5.36666666666666))!#18822=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.36,5.36666666666666))!#18823=CARTESIAN_POINT('Origin',(-15.9058267716535,-2.0125,8.05))!#18824=CARTESIAN_POINT('',(-14.4058267716535,-2.0125,7.925))!#18825=CARTESIAN_POINT('',(-14.4058267716535,-2.0125,7.20868727125782))!#18826=CARTESIAN_POINT('',(-17.4058267716535,-2.0125,7.925))!#18827=CARTESIAN_POINT('',(-15.9058267716535,-2.0125,7.925))!#18828=CARTESIAN_POINT('',(-17.4058267716535,-2.0125,7.20868727125781))!#18829=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.7625,7.20868727125781))!#18830=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.7625,7.925))!#18831=CARTESIAN_POINT('Origin',(-17.5308267716535,1.00625,7.925))!#18832=CARTESIAN_POINT('Origin',(-17.5308267716535,1.7625,7.925))!#18833=CARTESIAN_POINT('Origin',(-17.5308267716535,-1.7625,7.925))!#18834=CARTESIAN_POINT('Origin',(-17.4058267716535,1.7625,5.86702060459115))!#18835=CARTESIAN_POINT('Origin',(-17.4058267716535,1.7625,7.925))!#18836=CARTESIAN_POINT('Origin',(-14.4058267716535,1.7625,5.86702060459115))!#18837=CARTESIAN_POINT('Origin',(-14.4058267716535,1.7625,7.925))!#18838=CARTESIAN_POINT('Origin',(-14.2808267716535,1.00625,7.925))!#18839=CARTESIAN_POINT('Origin',(-14.2808267716535,-1.7625,7.925))!#18840=CARTESIAN_POINT('Origin',(-14.2808267716535,1.7625,7.925))!#18841=CARTESIAN_POINT('Origin',(-15.9058267716535,1.8875,7.925))!#18842=CARTESIAN_POINT('Origin',(-17.4058267716535,1.8875,7.925))!#18843=CARTESIAN_POINT('Origin',(-14.4058267716535,1.8875,7.925))!#18844=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,1.7625,7.925))!#18845=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,2.0125,7.925))!#18846=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,2.0125,7.925))!#18847=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,1.7625,8.05))!#18848=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,2.0125,8.05))!#18849=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,2.0125,8.05))!#18850=CARTESIAN_POINT('Ctrl Pts',(-14.2808267716535,1.7625,8.05))!#18851=CARTESIAN_POINT('Ctrl Pts',(-14.2808267716535,1.8875,8.05))!#18852=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,1.8875,8.05))!#18853=CARTESIAN_POINT('Ctrl Pts',(-17.5308267716535,1.7625,8.05))!#18854=CARTESIAN_POINT('Ctrl Pts',(-17.5308267716535,1.8875,8.05))!#18855=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,1.8875,8.05))!#18856=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,1.7625,8.05))!#18857=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,2.0125,8.05))!#18858=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,2.0125,8.05))!#18859=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,1.7625,7.925))!#18860=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,2.0125,7.925))!#18861=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,2.0125,7.925))!#18862=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.7625,7.20868727125782))!#18863=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.7625,7.925))!#18864=CARTESIAN_POINT('Origin',(-15.9058267716535,-1.8875,7.925))!#18865=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.8875,7.925))!#18866=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.8875,7.925))!#18867=CARTESIAN_POINT('Ctrl Pts',(-14.2808267716535,-1.7625,8.05))!#18868=CARTESIAN_POINT('Ctrl Pts',(-14.2808267716535,-1.8875,8.05))!#18869=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,-1.8875,8.05))!#18870=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-1.7625,8.05))!#18871=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-2.0125,8.05))!#18872=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,-2.0125,8.05))!#18873=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-1.7625,7.925))!#18874=CARTESIAN_POINT('Ctrl Pts',(-14.1558267716535,-2.0125,7.925))!#18875=CARTESIAN_POINT('Ctrl Pts',(-14.4058267716535,-2.0125,7.925))!#18876=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-1.7625,7.925))!#18877=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-2.0125,7.925))!#18878=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,-2.0125,7.925))!#18879=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-1.7625,8.05))!#18880=CARTESIAN_POINT('Ctrl Pts',(-17.6558267716535,-2.0125,8.05))!#18881=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,-2.0125,8.05))!#18882=CARTESIAN_POINT('Ctrl Pts',(-17.5308267716535,-1.7625,8.05))!#18883=CARTESIAN_POINT('Ctrl Pts',(-17.5308267716535,-1.8875,8.05))!#18884=CARTESIAN_POINT('Ctrl Pts',(-17.4058267716535,-1.8875,8.05))!#18885=CARTESIAN_POINT('Origin',(-14.4058267716535,1.36,5.48707065228669))!#18886=CARTESIAN_POINT('',(-14.4058267716535,1.61,5.48707065228669))!#18887=CARTESIAN_POINT('Origin',(-15.9058267716535,1.61,4.60676676205774))!#18888=CARTESIAN_POINT('',(-17.4058267716535,1.61,5.48707065228669))!#18889=CARTESIAN_POINT('Origin',(-17.4058267716535,1.36,5.48707065228669))!#18890=CARTESIAN_POINT('Origin',(-17.4058267716535,-1.36,5.86702060459115))!#18891=CARTESIAN_POINT('',(-17.4058267716535,-1.61,5.86702060459115))!#18892=CARTESIAN_POINT('Origin',(-15.9058267716535,-1.61,5.36666666666666))!#18893=CARTESIAN_POINT('',(-14.4058267716535,-1.61,5.86702060459115))!#18894=CARTESIAN_POINT('Origin',(-14.4058267716535,-1.36,5.86702060459115))!#18895=CARTESIAN_POINT('Origin',(-0.508449556436498,0.,-1.1289848088325E-16))!#18896=CARTESIAN_POINT('',(-0.54446011533679,-3.54228108543398,-5.54698750503088E-16))!#18897=CARTESIAN_POINT('',(-0.508449556436498,-3.64121928287447,-5.58819234859834E-16))!#18898=CARTESIAN_POINT('',(-0.54446011533679,3.54228108543398,-1.20894431207394E-16))!#18899=CARTESIAN_POINT('Origin',(-0.54446011533679,0.,-1.20894431207394E-16))!#18900=CARTESIAN_POINT('',(-0.54446011533679,-4.33804319295693E-16,3.54228108543398))!#18901=CARTESIAN_POINT('Origin',(-0.54446011533679,0.,-1.20894431207394E-16))!#18902=CARTESIAN_POINT('Origin',(-0.54446011533679,0.,-1.20894431207394E-16))!#18903=CARTESIAN_POINT('Origin',(-0.655447432752448,0.,-1.45538566254643E-16))!#18904=CARTESIAN_POINT('',(-0.655447432752448,3.46456692913386,-1.45538566254643E-16))!#18905=CARTESIAN_POINT('',(-0.655447432752449,-4.24287080019555E-16,3.46456692913386))!#18906=CARTESIAN_POINT('Origin',(-0.655447432752448,0.,-1.45538566254643E-16))!#18907=CARTESIAN_POINT('Origin',(-0.655447432752449,-4.3875141229295E-16, 3.58267716535433))!#18908=CARTESIAN_POINT('',(-0.655447432752448,-3.46456692913386,-5.69825646274199E-16))!#18909=CARTESIAN_POINT('Origin',(-0.655447432752448,0.,-1.45538566254643E-16))!#18910=CARTESIAN_POINT('Origin',(-0.655447432752448,0.,-1.45538566254643E-16))!#18911=CARTESIAN_POINT('Origin',(-0.712521790732189,0.,-1.58211619523605E-16))!#18912=CARTESIAN_POINT('',(-0.769596148711931,-3.46456692913386,-5.95171752812122E-16))!#18913=CARTESIAN_POINT('',(-0.712521790732189,-3.46456692913386,-5.8249869954316E-16))!#18914=CARTESIAN_POINT('',(-0.769596148711931,3.46456692913386,-1.70884672792566E-16))!#18915=CARTESIAN_POINT('Origin',(-0.769596148711931,0.,-1.70884672792566E-16))!#18916=CARTESIAN_POINT('Origin',(-0.769596148711931,0.,-1.70884672792566E-16))!#18917=CARTESIAN_POINT('Origin',(-0.769596148711931,3.46456692913386,-1.70884672792566E-16))!#18918=CARTESIAN_POINT('',(-0.769596148711931,3.50393700787402,-1.70884672792566E-16))!#18919=CARTESIAN_POINT('',(-0.769596148711931,-3.50393700787402,-5.99993196903253E-16))!#18920=CARTESIAN_POINT('Origin',(-0.769596148711931,0.,-1.70884672792566E-16))!#18921=CARTESIAN_POINT('Origin',(-0.769596148711931,0.,-1.70884672792566E-16))!#18922=CARTESIAN_POINT('Origin',(-2.69590043656069,0.,-5.98610147353338E-16))!#18923=CARTESIAN_POINT('',(-4.62220472440945,-3.50393700787402,-1.4554441460248E-15))!#18924=CARTESIAN_POINT('',(-2.69590043656069,-3.50393700787402,-1.02771867146402E-15))!#18925=CARTESIAN_POINT('',(-4.62220472440945,-2.8105449071042,-2.09246550277412))!#18926=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18927=CARTESIAN_POINT('',(-4.62220472440945,-2.09246550277411,-2.81054490710421))!#18928=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18929=CARTESIAN_POINT('',(-4.62220472440945,2.09246550277412,-2.8105449071042))!#18930=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18931=CARTESIAN_POINT('',(-4.62220472440945,2.8105449071042,-2.09246550277412))!#18932=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18933=CARTESIAN_POINT('',(-4.62220472440945,2.8105449071042,2.09246550277412))!#18934=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18935=CARTESIAN_POINT('',(-4.62220472440945,2.09246550277411,2.8105449071042))!#18936=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18937=CARTESIAN_POINT('',(-4.62220472440945,-2.09246550277412,2.8105449071042))!#18938=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18939=CARTESIAN_POINT('',(-4.62220472440945,-2.8105449071042,2.09246550277411))!#18940=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18941=CARTESIAN_POINT('Origin',(-4.62220472440945,0.,-1.02633562191411E-15))!#18942=CARTESIAN_POINT('Origin',(-4.62220472440945,3.50393700787402,-1.02633562191411E-15))!#18943=CARTESIAN_POINT('',(-4.62220472440945,2.56256611055991,-2.03107004756778))!#18944=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,-2.56256611055991))!#18945=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,-2.56256611055991))!#18946=CARTESIAN_POINT('Origin',(-4.62220472440945,3.50393700787402,-1.02633562191411E-15))!#18947=CARTESIAN_POINT('',(-4.62220472440945,-2.03107004756778,-2.56256611055991))!#18948=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,-2.56256611055991))!#18949=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,-2.56256611055991))!#18950=CARTESIAN_POINT('Origin',(-4.62220472440945,3.50393700787402,-1.02633562191411E-15))!#18951=CARTESIAN_POINT('',(-4.62220472440945,2.03107004756778,2.56256611055991))!#18952=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,2.56256611055991))!#18953=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,2.56256611055991))!#18954=CARTESIAN_POINT('Origin',(-4.62220472440945,3.50393700787402,-1.02633562191411E-15))!#18955=CARTESIAN_POINT('',(-4.62220472440945,-2.56256611055991,2.03107004756778))!#18956=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,2.56256611055991))!#18957=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,2.56256611055991))!#18958=CARTESIAN_POINT('Origin',(-5.39582677165354,1.50908120488133E-61, -0.687500000000015))!#18959=CARTESIAN_POINT('',(-5.39582677165354,2.56256611055991,-2.28697555937881))!#18960=CARTESIAN_POINT('Origin',(-5.39582677165354,2.56256611055991,-2.56256611055991))!#18961=CARTESIAN_POINT('Origin',(-5.39582677165354,1.50908120488133E-61, -0.687500000000015))!#18962=CARTESIAN_POINT('',(-5.39582677165355,2.28697555937881,2.56256611055991))!#18963=CARTESIAN_POINT('Origin',(-5.39582677165355,2.56256611055991,2.56256611055991))!#18964=CARTESIAN_POINT('Origin',(-5.39582677165354,1.50908120488133E-61, -0.687500000000015))!#18965=CARTESIAN_POINT('',(-5.39582677165355,-2.56256611055991,2.28697555937881))!#18966=CARTESIAN_POINT('Origin',(-5.39582677165355,-2.56256611055991,2.56256611055991))!#18967=CARTESIAN_POINT('Origin',(-5.1340157480315,-2.06136954933767E-17, -9.3255526356011E-16))!#18968=CARTESIAN_POINT('',(-4.62220472440945,-4.52755905511811,-8.62815167550356E-16))!#18969=CARTESIAN_POINT('',(-4.62220472440945,4.52755905511811,1.94310933763969E-16))!#18970=CARTESIAN_POINT('Origin',(-4.62220472440945,-4.12273909867534E-17, -6.11485152133244E-16))!#18971=CARTESIAN_POINT('Origin',(-4.62220472440945,-4.12273909867534E-17, -6.11485152133244E-16))!#18972=CARTESIAN_POINT('',(-5.64582677165354,4.52755905511811,-4.47829289089763E-16))!#18973=CARTESIAN_POINT('',(-5.1340157480315,4.52755905511811,-1.26759177662897E-16))!#18974=CARTESIAN_POINT('',(-5.64582677165354,-4.52755905511811,-1.50495539040409E-15))!#18975=CARTESIAN_POINT('Origin',(-5.64582677165354,-1.0775240406041E-48, -1.25362537498698E-15))!#18976=CARTESIAN_POINT('Origin',(-5.64582677165354,-1.0775240406041E-48, -1.25362537498698E-15))!#18977=CARTESIAN_POINT('Origin',(-4.83874015748032,-2.56256611055991,2.56256611055991))!#18978=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,2.56256611055991))!#18979=CARTESIAN_POINT('',(-5.21275590551181,-2.56256611055991,2.03107004756778))!#18980=CARTESIAN_POINT('',(-4.83874015748032,-2.56256611055991,2.03107004756778))!#18981=CARTESIAN_POINT('',(-5.21275590551181,-2.56256611055991,3.09406217355203))!#18982=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,2.56256611055991))!#18983=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,2.56256611055991))!#18984=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,2.56256611055991))!#18985=CARTESIAN_POINT('',(-5.21275590551181,-2.56256611055991,2.28697555937881))!#18986=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,2.56256611055991))!#18987=CARTESIAN_POINT('Origin',(-4.83874015748032,2.56256611055991,2.56256611055991))!#18988=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,2.56256611055991))!#18989=CARTESIAN_POINT('',(-5.21275590551181,2.03107004756778,2.56256611055991))!#18990=CARTESIAN_POINT('',(-4.83874015748032,2.03107004756778,2.56256611055991))!#18991=CARTESIAN_POINT('',(-5.21275590551181,3.09406217355203,2.56256611055991))!#18992=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,2.56256611055991))!#18993=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,2.56256611055991))!#18994=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,2.56256611055991))!#18995=CARTESIAN_POINT('',(-5.21275590551181,2.28697555937881,2.56256611055991))!#18996=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,2.56256611055991))!#18997=CARTESIAN_POINT('Origin',(-4.83874015748031,2.56256611055991,-2.56256611055991))!#18998=CARTESIAN_POINT('Origin',(-4.62220472440945,2.56256611055991,-2.56256611055991))!#18999=CARTESIAN_POINT('',(-5.21275590551181,2.56256611055991,-2.03107004756778))!#19000=CARTESIAN_POINT('',(-4.83874015748031,2.56256611055991,-2.03107004756778))!#19001=CARTESIAN_POINT('',(-5.21275590551181,2.56256611055991,-3.09406217355204))!#19002=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,-2.56256611055991))!#19003=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,-2.56256611055991))!#19004=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,-2.56256611055991))!#19005=CARTESIAN_POINT('',(-5.21275590551181,2.56256611055991,-2.28697555937881))!#19006=CARTESIAN_POINT('Origin',(-5.21275590551181,2.56256611055991,-2.56256611055991))!#19007=CARTESIAN_POINT('Origin',(-4.83874015748031,-2.56256611055991,-2.56256611055991))!#19008=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.56256611055991,-2.56256611055991))!#19009=CARTESIAN_POINT('',(-5.21275590551181,-2.03107004756778,-2.56256611055991))!#19010=CARTESIAN_POINT('',(-4.83874015748031,-2.03107004756778,-2.56256611055991))!#19011=CARTESIAN_POINT('',(-5.21275590551181,-3.09406217355203,-2.56256611055991))!#19012=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,-2.56256611055991))!#19013=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,-2.56256611055991))!#19014=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,-2.56256611055991))!#19015=CARTESIAN_POINT('',(-5.21275590551181,-2.28697555937881,-2.56256611055991))!#19016=CARTESIAN_POINT('Origin',(-5.21275590551181,-2.56256611055991,-2.56256611055991))!#19017=CARTESIAN_POINT('Origin',(-4.62220472440945,-2.25,-7.36385242403574E-16))!#19018=CARTESIAN_POINT('Origin',(-5.64582677165354,-4.52755905511811,-1.50495539040409E-15))!#19019=CARTESIAN_POINT('Origin',(-5.05527559055118,-2.56256611055991,2.56256611055991))!#19020=CARTESIAN_POINT('',(-5.05527559055118,-2.56256611055991,2.28697555937881))!#19021=CARTESIAN_POINT('Origin',(-5.05527559055118,2.56256611055991,2.56256611055991))!#19022=CARTESIAN_POINT('',(-5.05527559055118,2.28697555937881,2.56256611055991))!#19023=CARTESIAN_POINT('Origin',(-5.05527559055118,2.56256611055991,-2.56256611055991))!#19024=CARTESIAN_POINT('',(-5.05527559055118,2.56256611055991,-2.28697555937881))!#19025=CARTESIAN_POINT('Origin',(-5.05527559055118,-2.56256611055991,-2.56256611055991))!#19026=CARTESIAN_POINT('',(-5.05527559055118,-2.28697555937881,-2.56256611055991))!#19027=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19028=CARTESIAN_POINT('',(-17.4270767716535,-4.83162500000001,4.25000000000001))!#19029=CARTESIAN_POINT('',(-17.2864517716535,-4.83162500000001,4.49356964481438))!#19030=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19031=CARTESIAN_POINT('',(-17.0052017716535,-4.83162500000001,4.49356964481438))!#19032=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19033=CARTESIAN_POINT('',(-16.8645767716535,-4.83162500000001,4.25000000000001))!#19034=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19035=CARTESIAN_POINT('',(-17.0052017716535,-4.83162500000001,4.00643035518563))!#19036=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19037=CARTESIAN_POINT('',(-17.2864517716535,-4.83162500000001,4.00643035518563))!#19038=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19039=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.83162500000001,4.25000000000001))!#19040=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.04100000000001,3.92524047358084))!#19041=CARTESIAN_POINT('',(-16.8645767716535,-4.85674523679043,4.08762023679042))!#19042=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, 4.00643035518563))!#19043=CARTESIAN_POINT('Ctrl Pts',(-16.9399374820248,-4.83162500000001, 4.04411071037126))!#19044=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679043, 4.08762023679042))!#19045=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,4.08762023679042))!#19046=CARTESIAN_POINT('',(-17.1458267716535,-4.85674523679043,3.92524047358084))!#19047=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,3.92524047358084))!#19048=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679043, 3.92524047358084))!#19049=CARTESIAN_POINT('Ctrl Pts',(-17.0704660612823,-4.83162500000001, 3.96875000000001))!#19050=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, 4.00643035518563))!#19051=CARTESIAN_POINT('Origin',(-17.4270767716535,-5.04100000000001,4.08762023679042))!#19052=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, 4.00643035518563))!#19053=CARTESIAN_POINT('Ctrl Pts',(-17.2211874820248,-4.83162500000001, 3.96875000000001))!#19054=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679043, 3.92524047358084))!#19055=CARTESIAN_POINT('',(-17.4270767716535,-4.85674523679043,4.08762023679042))!#19056=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,4.08762023679042))!#19057=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679043, 4.08762023679042))!#19058=CARTESIAN_POINT('Ctrl Pts',(-17.3517160612823,-4.83162500000001, 4.04411071037126))!#19059=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, 4.00643035518563))!#19060=CARTESIAN_POINT('Origin',(-17.4270767716535,-5.04100000000001,4.41237976320959))!#19061=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, 4.25000000000001))!#19062=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, 4.17463928962875))!#19063=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679043, 4.08762023679042))!#19064=CARTESIAN_POINT('',(-17.4270767716535,-4.85674523679043,4.41237976320959))!#19065=CARTESIAN_POINT('',(-17.4270767716535,-5.04100000000001,4.41237976320959))!#19066=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679043, 4.41237976320959))!#19067=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, 4.32536071037126))!#19068=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.83162500000001, 4.25000000000001))!#19069=CARTESIAN_POINT('Origin',(-17.1458267716535,-5.04100000000001,4.57475952641917))!#19070=CARTESIAN_POINT('',(-17.1458267716535,-4.85674523679043,4.57475952641917))!#19071=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679043, 4.57475952641917))!#19072=CARTESIAN_POINT('Ctrl Pts',(-17.2211874820248,-4.83162500000001, 4.53125000000001))!#19073=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, 4.49356964481438))!#19074=CARTESIAN_POINT('Ctrl Pts',(-17.2864517716535,-4.83162500000001, 4.49356964481438))!#19075=CARTESIAN_POINT('Ctrl Pts',(-17.3517160612823,-4.83162500000001, 4.45588928962875))!#19076=CARTESIAN_POINT('Ctrl Pts',(-17.4270767716535,-4.85674523679043, 4.41237976320959))!#19077=CARTESIAN_POINT('',(-17.1458267716535,-5.04100000000001,4.57475952641917))!#19078=CARTESIAN_POINT('Origin',(-16.8645767716535,-5.04100000000001,4.41237976320959))!#19079=CARTESIAN_POINT('',(-16.8645767716535,-4.85674523679043,4.41237976320959))!#19080=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679043, 4.41237976320959))!#19081=CARTESIAN_POINT('Ctrl Pts',(-16.9399374820248,-4.83162500000001, 4.45588928962875))!#19082=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, 4.49356964481438))!#19083=CARTESIAN_POINT('Ctrl Pts',(-17.0052017716535,-4.83162500000001, 4.49356964481438))!#19084=CARTESIAN_POINT('Ctrl Pts',(-17.0704660612823,-4.83162500000001, 4.53125000000001))!#19085=CARTESIAN_POINT('Ctrl Pts',(-17.1458267716535,-4.85674523679043, 4.57475952641917))!#19086=CARTESIAN_POINT('',(-16.8645767716535,-5.04100000000001,4.41237976320959))!#19087=CARTESIAN_POINT('Origin',(-16.8645767716535,-5.04100000000001,4.08762023679042))!#19088=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679043, 4.08762023679042))!#19089=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, 4.17463928962875))!#19090=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, 4.25000000000001))!#19091=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, 4.25000000000001))!#19092=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.83162500000001, 4.32536071037126))!#19093=CARTESIAN_POINT('Ctrl Pts',(-16.8645767716535,-4.85674523679043, 4.41237976320959))!#19094=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19095=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19096=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19097=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19098=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19099=CARTESIAN_POINT('Origin',(-17.1458267716535,-4.84418511839522,4.25000000000001))!#19100=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19101=CARTESIAN_POINT('',(-10.4270767716535,-4.83162500000001,-4.25000000000001))!#19102=CARTESIAN_POINT('',(-10.2864517716535,-4.83162500000001,-4.00643035518564))!#19103=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19104=CARTESIAN_POINT('',(-10.0052017716535,-4.83162500000001,-4.00643035518564))!#19105=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19106=CARTESIAN_POINT('',(-9.86457677165354,-4.83162500000001,-4.25000000000001))!#19107=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19108=CARTESIAN_POINT('',(-10.0052017716535,-4.83162500000001,-4.49356964481438))!#19109=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19110=CARTESIAN_POINT('',(-10.2864517716535,-4.83162500000001,-4.49356964481438))!#19111=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19112=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.83162500000001,-4.25000000000001))!#19113=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.04100000000001,-4.57475952641918))!#19114=CARTESIAN_POINT('',(-9.86457677165354,-4.85674523679042,-4.41237976320959))!#19115=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, -4.49356964481438))!#19116=CARTESIAN_POINT('Ctrl Pts',(-9.9399374820248,-4.83162500000001,-4.45588928962876))!#19117=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.85674523679042, -4.41237976320959))!#19118=CARTESIAN_POINT('',(-9.86457677165354,-5.04100000000001,-4.41237976320959))!#19119=CARTESIAN_POINT('',(-10.1458267716535,-4.85674523679042,-4.57475952641918))!#19120=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,-4.57475952641918))!#19121=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679042, -4.57475952641918))!#19122=CARTESIAN_POINT('Ctrl Pts',(-10.0704660612823,-4.83162500000001, -4.53125000000001))!#19123=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, -4.49356964481438))!#19124=CARTESIAN_POINT('Origin',(-10.4270767716535,-5.04100000000001,-4.41237976320959))!#19125=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, -4.49356964481438))!#19126=CARTESIAN_POINT('Ctrl Pts',(-10.2211874820248,-4.83162500000001, -4.53125000000001))!#19127=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679042, -4.57475952641918))!#19128=CARTESIAN_POINT('',(-10.4270767716535,-4.85674523679042,-4.41237976320959))!#19129=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,-4.41237976320959))!#19130=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679042, -4.4123797632096))!#19131=CARTESIAN_POINT('Ctrl Pts',(-10.3517160612823,-4.83162500000001, -4.45588928962876))!#19132=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, -4.49356964481438))!#19133=CARTESIAN_POINT('Origin',(-10.4270767716535,-5.04100000000001,-4.08762023679043))!#19134=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, -4.25000000000001))!#19135=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, -4.32536071037126))!#19136=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679042, -4.41237976320959))!#19137=CARTESIAN_POINT('',(-10.4270767716535,-4.85674523679042,-4.08762023679043))!#19138=CARTESIAN_POINT('',(-10.4270767716535,-5.04100000000001,-4.08762023679043))!#19139=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679042, -4.08762023679043))!#19140=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, -4.17463928962876))!#19141=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.83162500000001, -4.25000000000001))!#19142=CARTESIAN_POINT('Origin',(-10.1458267716535,-5.04100000000001,-3.92524047358085))!#19143=CARTESIAN_POINT('',(-10.1458267716535,-4.85674523679042,-3.92524047358085))!#19144=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679042, -3.92524047358085))!#19145=CARTESIAN_POINT('Ctrl Pts',(-10.2211874820248,-4.83162500000001, -3.96875000000001))!#19146=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, -4.00643035518564))!#19147=CARTESIAN_POINT('Ctrl Pts',(-10.2864517716535,-4.83162500000001, -4.00643035518564))!#19148=CARTESIAN_POINT('Ctrl Pts',(-10.3517160612823,-4.83162500000001, -4.04411071037127))!#19149=CARTESIAN_POINT('Ctrl Pts',(-10.4270767716535,-4.85674523679042, -4.08762023679043))!#19150=CARTESIAN_POINT('',(-10.1458267716535,-5.04100000000001,-3.92524047358085))!#19151=CARTESIAN_POINT('Origin',(-9.86457677165354,-5.04100000000001,-4.08762023679043))!#19152=CARTESIAN_POINT('',(-9.86457677165354,-4.85674523679042,-4.08762023679043))!#19153=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.85674523679042, -4.08762023679043))!#19154=CARTESIAN_POINT('Ctrl Pts',(-9.9399374820248,-4.83162500000001,-4.04411071037127))!#19155=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, -4.00643035518564))!#19156=CARTESIAN_POINT('Ctrl Pts',(-10.0052017716535,-4.83162500000001, -4.00643035518564))!#19157=CARTESIAN_POINT('Ctrl Pts',(-10.0704660612823,-4.83162500000001, -3.96875000000001))!#19158=CARTESIAN_POINT('Ctrl Pts',(-10.1458267716535,-4.85674523679042, -3.92524047358085))!#19159=CARTESIAN_POINT('',(-9.86457677165354,-5.04100000000001,-4.08762023679043))!#19160=CARTESIAN_POINT('Origin',(-9.86457677165354,-5.04100000000001,-4.41237976320959))!#19161=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.85674523679042, -4.41237976320959))!#19162=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.83162500000001, -4.32536071037126))!#19163=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.83162500000001, -4.25000000000001))!#19164=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.83162500000001, -4.25000000000001))!#19165=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.83162500000001, -4.17463928962876))!#19166=CARTESIAN_POINT('Ctrl Pts',(-9.86457677165354,-4.85674523679042, -4.08762023679043))!#19167=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!#19168=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!#19169=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!#19170=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!#19171=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!#19172=CARTESIAN_POINT('Origin',(-10.1458267716535,-4.84418511839522,-4.25000000000001))!公元19173公元19174#19175=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#19173)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#19178,#19183,#19182)) REPRESENTATION_CONTEXT('','3D') )!#19176=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#19174)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#19178,#19183,#19182)) REPRESENTATION_CONTEXT('','3D') )!#19177=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.)!178=Convernision_BASED_UNIT(inch',#19181)LENGTH_UNIT(NationalED_UNIT(#19177))SI_UNIT(.MILLI.MERETER.)SI_UNIT (.CENTI.,.METRE.)#19181=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#19179)!i.slid+ANGLEUNITSI_UNIT($.RADIAN.)#19184=SHAPE_DEFINITION_REPRESENTATION(#19185,#19186)!#19185=PRODUCT_DEFINITION_SHAPE('',$,#19188)!#19186=SHAPE_REPRESENTATION('',(#10807),#19175)!#19187=PRODUCT_DEFINITION_CONTEXT('part definition',#19192,'design')!#19188=PRODUCT_DEFINITION('Ws_20-15_215TC_SM_Shrinkwrap', 'Ws+_Ws+20-15_215TC_2.5x2.0_S-Line_15HP_SM',#19189,#19187)!#19189=PRODUCT_DEFINITION_FORMATION('',$,#19194)!#19190=PRODUCT_RELATED_PRODUCT_CATEGORY( 'Ws+_Ws+20-15_215TC_2.5x2.0_S-Line_15HP_SM', 'Ws+_Ws+20-15_215TC_2.5x2.0_S-Line_15HP_SM',(#19194))!#19191=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#19192)!192=appliciationCONTEXT#19193=PRODUCT_CONTEXT('part definition',#19192,'mechanical')!#19194=PRODUCT('Ws_20-15_215TC_SM_Shrinkwrap', 'Ws+_Ws+20-15_215TC_2.5x2.0_S-Line_15HP_SM',$,(#19193))!#19195=PRESENTATION_STYLE_ASSIGNMENT((#19202))!#19196=PRESENTATION_STYLE_ASSIGNMENT((#19203))!#19197=PRESENTATION_STYLE_ASSIGNMENT((#19204))!#19198=PRESENTATION_STYLE_ASSIGNMENT((#19205))!#19199=PRESENTATION_STYLE_ASSIGNMENT((#19206))!#19200=PRESENTATION_STYLE_ASSIGNMENT((#19207))!#19201=PRESENTATION_STYLE_ASSIGNMENT((#19208))!#19202=SURFACE_STYLE_USAGE(.BOTH.,#19209)!#19203=SURFACE_STYLE_USAGE(.BOTH.,#19210)!#19204=SURFACE_STYLE_USAGE(.BOTH.,#19211)!#19205=SURFACE_STYLE_USAGE(.BOTH.,#19212)!#19206=SURFACE_STYLE_USAGE(.BOTH.,#19213)!#19207=SURFACE_STYLE_USAGE(.BOTH.,#19214)!#19208=SURFACE_STYLE_USAGE(.BOTH.,#19215)!#19209=SURFACE_SIDE_STYLE('',(#19216))!#19210=SURFACE_SIDE_STYLE('',(#19217))!#19211=SURFACE_SIDE_STYLE('',(#19218))!#19212=SURFACE_SIDE_STYLE('',(#19219))!#19213=SURFACE_SIDE_STYLE('',(#19220))!#19214=SURFACE_SIDE_STYLE('',(#19221))!#19215=SURFACE_SIDE_STYLE('',(#19222))!#19216=SURFACE_STYLE_FILL_AREA(#19223)!#19217=SURFACE_STYLE_FILL_AREA(#19224)!#19218=SURFACE_STYLE_FILL_AREA(#19225)!#19219=SURFACE_STYLE_FILL_AREA(#19226)!#19220=SURFACE_STYLE_FILL_AREA(#19227)!#19221=SURFACE_STYLE_FILL_AREA(#19228)!#19222=SURFACE_STYLE_FILL_AREA(#19229)!#19223=FILL_AREA_STYLE('',(#19230))!#19224=FILL_AREA_STYLE('',(#19231))!#19225=FILL_AREA_STYLE('',(#19232))!#19226=FILL_AREA_STYLE('',(#19233))!#19227=FILL_AREA_STYLE('',(#19234))!#19228=FILL_AREA_STYLE('',(#19235))!#19229=FILL_AREA_STYLE('',(#19236))!#19230=FILL_AREA_STYLE_COLOUR('',#19237)!#19231=FILL_AREA_STYLE_COLOUR('',#19238)!#19232=FILL_AREA_STYLE_COLOUR('',#19239)!#19233=FILL_AREA_STYLE_COLOUR('',#19240)!#19234=FILL_AREA_STYLE_COLOUR('',#19241)!#19235=FILL_AREA_STYLE_COLOUR('',#19242)!#19236=FILL_AREA_STYLE_COLOUR('',#19243)!#19237=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137)!#19238=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882)!#19239=COLOUR_RGB('',0.376470588235294,0.376470588235294,0.376470588235294)!#19240=COLOUR_RGB('',0.0509803921568627,0.615686274509804,0.0509803921568627)!#19241=COLOUR_RGB('',0.270588235294118,0.270588235294118,0.270588235294118)!#19242=COLOUR_RGB('',1.,1.,1.)!#19243=COLOUR_RGB('',0.956862745098039,0.956862745098039,0.956862745098039)!EndscripteEND-ISO-10303-21;