ISO-10303-21海德由STEP工具公司生成file_description (/*描述*/yabo体育首页FILE_NAME*/C:S-Line_20HP_SM.stpFILE_SCHEMAEndscripteDATA系统#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#8834,#8835, #8836,#8837,#8838,#8839,#8840,#8841,#8842,#8843,#8844,#8845,#8846,#8847, #8848,#8849,#8850,#8851,#8852,#8853,#8854,#8855,#8856,#8857,#8858,#8859, #8860,#8861,#8862,#8863,#8864,#8865,#8866,#8867,#8868,#8869,#8870,#8871, #8872,#8873,#8874,#8875,#8876,#8877,#8878,#8879,#8880,#8881,#8882,#8883, #8884,#8885,#8886,#8887,#8888,#8889,#8890,#8891,#8892,#8893,#8894,#8895, #8896,#8897,#8898,#8899,#8900,#8901,#8902,#8903,#8904,#8905,#8906,#8907, #8908,#8909,#8910,#8911,#8912,#8913,#8914,#8915,#8916,#8917,#8918,#8919, #8920,#8921,#8922,#8923,#8924,#8925,#8926,#8927,#8928,#8929,#8930,#8931, #8932,#8933,#8934,#8935,#8936,#8937,#8938,#8939,#8940,#8941,#8942,#8943, #8944,#8945,#8946,#8947,#8948,#8949,#8950,#8951,#8952,#8953,#8954,#8955, #8956,#8957,#8958,#8959,#8960,#8961,#8962,#8963,#8964,#8965,#8966,#8967, #8968,#8969,#8970,#8971,#8972,#8973,#8974,#8975,#8976,#8977,#8978,#8979, #8980,#8981,#8982,#8983,#8984,#8985,#8986,#8987,#8988,#8989,#8990,#8991, #8992,#8993,#8994,#8995,#8996,#8997,#8998,#8999,#9000,#9001,#9002,#9003, #9004,#9005,#9006,#9007,#9008,#9009,#9010,#9011,#9012,#9013,#9014,#9015, #9016,#9017,#9018,#9019,#9020,#9021,#9022,#9023,#9024,#9025,#9026,#9027, #9028,#9029,#9030,#9031,#9032,#9033,#9034,#9035,#9036,#9037,#9038,#9039, #9040,#9041,#9042,#9043,#9044,#9045,#9046,#9047,#9048,#9049,#9050,#9051, #9052,#9053,#9054,#9055,#9056,#9057,#9058,#9059,#9060,#9061,#9062,#9063, #9064,#9065,#9066,#9067,#9068,#9069,#9070,#9071,#9072,#9073,#9074,#9075, #9076,#9077,#9078,#9079,#9080,#9081,#9082,#9083,#9084,#9085,#9086,#9087, #9088,#9089,#9090,#9091,#9092,#9093,#9094,#9095,#9096,#9097,#9098,#9099, #9100,#9101,#9102,#9103,#9104,#9105,#9106,#9107,#9108,#9109,#9110,#9111, #9112),#17411)!#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#17421,#12)!#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#17410)!#13=MANIFOLD_SOLID_BREP('Solid1',#9631)!#14=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#17087,#17088,#17089),(#17090,#17091,#17092),(#17093, #17094,#17095)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-4.44089209850068E-16,1.57079632679492), (1.5707963267949,3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.),(0.707106781186535, 0.499999999999991,0.707106781186535),(1.,0.707106781186548,1.))) REPRESENTATION_ITEM('') SURFACE() )!#15=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#17096,#17097,#17098),(#17099,#17100,#17101),(#17102, #17103,#17104)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.5707963267949,0.),(-1.5707963267949, 2.22044604925031E-16),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186561, 0.50000000000001,0.707106781186561),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() )!#16=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#17110,#17111,#17112),(#17113,#17114,#17115),(#17116, #17117,#17118)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(-1.57079632679492,4.44089209850068E-16), (1.5707963267949,3.14159265358979),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186535, 0.499999999999991,0.707106781186535),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() )!#17=( BOUNDED_SURFACE() B_SPLINE_SURFACE(2,2,((#17119,#17120,#17121),(#17122,#17123,#17124),(#17125, #17126,#17127)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,3),(0.,1.5707963267949),(-1.5707963267949, 2.22044604925031E-16),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186547,1.),(0.707106781186566, 0.500000000000013,0.707106781186566),(1.,0.707106781186547,1.))) REPRESENTATION_ITEM('') SURFACE() )!#18=TOROIDAL_SURFACE('',#9875,1.0475,0.045)!#19=TOROIDAL_SURFACE('',#9887,1.18719919726799,0.031)!#20=TOROIDAL_SURFACE('',#9900,1.03080080273201,0.031)!#21=TOROIDAL_SURFACE('',#9913,1.109,0.047)!#22=TOROIDAL_SURFACE('',#9925,1.2975,0.045)!#23=TOROIDAL_SURFACE('',#9935,1.46869919726799,0.031)!#24=TOROIDAL_SURFACE('',#9948,1.31230080273201,0.031)!#25=TOROIDAL_SURFACE('',#9961,1.3905,0.047)!#26=TOROIDAL_SURFACE('',#10083,4.78346456692913,0.118110236220472)!#27=TOROIDAL_SURFACE('',#10088,4.78346456692913,0.118110236220472)!#28=TOROIDAL_SURFACE('',#10101,4.78346456692913,0.118110236220472)!#29=TOROIDAL_SURFACE('',#10102,4.78346456692913,0.118110236220472)!#30=TOROIDAL_SURFACE('',#10125,4.37007874015748,0.236220472440945)!#31=TOROIDAL_SURFACE('',#10145,3.22834645669291,0.118110236220471)!#32=TOROIDAL_SURFACE('',#10155,3.30157480314961,0.832283464566929)!#33=TOROIDAL_SURFACE('',#10174,1.40748031496063,0.157480314960631)!#34=TOROIDAL_SURFACE('',#10181,1.74136042821556,0.196850393700771)!#35=TOROIDAL_SURFACE('',#10190,2.11966319383168,0.196850393700773)!#36=TOROIDAL_SURFACE('',#10211,4.36220472440945,0.031496062992126)!#37=TOROIDAL_SURFACE('',#10218,3.7992125984252,0.118110236220472)!#38=TOROIDAL_SURFACE('',#10223,3.7992125984252,0.118110236220472)!#39=TOROIDAL_SURFACE('',#10233,3.7992125984252,0.118110236220472)!#40=TOROIDAL_SURFACE('',#10244,3.7992125984252,0.118110236220472)!#41=TOROIDAL_SURFACE('',#10434,0.655000000000002,0.155)!#42=TOROIDAL_SURFACE('',#10445,5.524,0.726)!#43=TOROIDAL_SURFACE('',#10507,4.54724409448819,0.118110236220472)!#44=TOROIDAL_SURFACE('',#10519,4.33070866141732,0.118110236220473)!#45=TOROIDAL_SURFACE('',#10528,4.33070866141732,0.118110236220473)!#46=SPHERICAL_SURFACE('',#9782,0.5)!#47=SPHERICAL_SURFACE('',#9842,0.5)!#48=SPHERICAL_SURFACE('',#10544,0.5)!#49=SPHERICAL_SURFACE('',#10555,0.5)!#50=FACE_BOUND('',#1373,.T.)!#51=FACE_BOUND('',#1374,.T.)!#52=FACE_BOUND('',#1388,.T.)!#53=FACE_BOUND('',#1390,.T.)!#54=FACE_BOUND('',#1392,.T.)!#55=FACE_BOUND('',#1394,.T.)!#56=FACE_BOUND('',#1401,.T.)!#57=FACE_BOUND('',#1402,.T.)!#58=FACE_BOUND('',#1403,.T.)!#59=FACE_BOUND('',#1404,.T.)!#60=FACE_BOUND('',#1417,.T.)!#61=FACE_BOUND('',#1418,.T.)!#62=FACE_BOUND('',#1432,.T.)!#63=FACE_BOUND('',#1434,.T.)!#64=FACE_BOUND('',#1436,.T.)!#65=FACE_BOUND('',#1440,.T.)!#66=FACE_BOUND('',#1441,.T.)!#67=FACE_BOUND('',#1442,.T.)!#68=FACE_BOUND('',#1443,.T.)!#69=FACE_BOUND('',#1448,.T.)!#70=FACE_BOUND('',#1450,.T.)!#71=FACE_BOUND('',#1458,.T.)!#72=FACE_BOUND('',#1461,.T.)!#73=FACE_BOUND('',#1467,.T.)!#74=FACE_BOUND('',#1475,.T.)!#75=FACE_BOUND('',#1478,.T.)!#76=FACE_BOUND('',#1498,.T.)!#77=FACE_BOUND('',#1535,.T.)!#78=FACE_BOUND('',#1543,.T.)!#79=FACE_BOUND('',#1587,.T.)!#80=FACE_BOUND('',#1594,.T.)!#81=FACE_BOUND('',#1599,.T.)!#82=FACE_BOUND('',#1628,.T.)!#83=FACE_BOUND('',#1636,.T.)!#84=FACE_BOUND('',#1670,.T.)!#85=FACE_BOUND('',#1686,.T.)!#86=FACE_BOUND('',#1689,.T.)!#87=FACE_BOUND('',#1691,.T.)!#88=FACE_BOUND('',#1692,.T.)!#89=FACE_BOUND('',#1694,.T.)!#90=FACE_BOUND('',#1703,.T.)!#91=FACE_BOUND('',#1704,.T.)!#92=FACE_BOUND('',#1722,.T.)!#93=FACE_BOUND('',#1725,.T.)!#94=FACE_BOUND('',#1736,.T.)!#95=FACE_BOUND('',#1737,.T.)!#96=FACE_BOUND('',#1741,.T.)!#97=FACE_BOUND('',#1750,.T.)!#98=FACE_BOUND('',#1751,.T.)!#99=FACE_BOUND('',#1752,.T.)!#100=FACE_BOUND('',#1753,.T.)!#101=FACE_BOUND('',#1776,.T.)!#102=FACE_BOUND('',#1787,.T.)!#103=FACE_BOUND('',#1793,.T.)!#104=FACE_BOUND('',#1798,.T.)!#105=CYLINDRICAL_SURFACE('',#9753,0.125)!#106=CYLINDRICAL_SURFACE('',#9768,0.125)!#107=CYLINDRICAL_SURFACE('',#9791,0.5)!#108=CYLINDRICAL_SURFACE('',#9796,0.501)!#109=CYLINDRICAL_SURFACE('',#9799,0.501)!#110=CYLINDRICAL_SURFACE('',#9801,0.2085)!#111=CYLINDRICAL_SURFACE('',#9804,0.2085)!#112=CYLINDRICAL_SURFACE('',#9813,0.125)!#113=CYLINDRICAL_SURFACE('',#9828,0.125)!#114=CYLINDRICAL_SURFACE('',#9851,0.5)!#115=CYLINDRICAL_SURFACE('',#9852,0.501)!#116=CYLINDRICAL_SURFACE('',#9855,0.501)!#117=CYLINDRICAL_SURFACE('',#9858,0.2085)!#118=CYLINDRICAL_SURFACE('',#9862,0.2085)!#119=CYLINDRICAL_SURFACE('',#9884,1.0025)!#120=CYLINDRICAL_SURFACE('',#9915,1.2575)!#121=CYLINDRICAL_SURFACE('',#9916,0.935)!#122=CYLINDRICAL_SURFACE('',#9934,1.2525)!#123=CYLINDRICAL_SURFACE('',#9963,1.525)!#124=CYLINDRICAL_SURFACE('',#9964,1.185)!#125=CYLINDRICAL_SURFACE('',#9990,0.196850393700787)!#126=CYLINDRICAL_SURFACE('',#10008,4.90157480314961)!#127=CYLINDRICAL_SURFACE('',#10011,4.90157480314961)!#128=CYLINDRICAL_SURFACE('',#10014,0.216535433070866)!#129=CYLINDRICAL_SURFACE('',#10019,0.433070866141732)!#130=CYLINDRICAL_SURFACE('',#10026,0.191479136612826)!#131=CYLINDRICAL_SURFACE('',#10035,0.452755905511811)!#132=CYLINDRICAL_SURFACE('',#10039,4.90157480314961)!#133=CYLINDRICAL_SURFACE('',#10044,4.90157480314961)!#134=CYLINDRICAL_SURFACE('',#10047,4.90157480314961)!#135=CYLINDRICAL_SURFACE('',#10050,4.90157480314961)!#136=CYLINDRICAL_SURFACE('',#10067,0.452755905511811)!#137=CYLINDRICAL_SURFACE('',#10070,4.90157480314961)!#138=CYLINDRICAL_SURFACE('',#10074,4.54730002274414)!#139=CYLINDRICAL_SURFACE('',#10078,4.54730002274414)!#140=CYLINDRICAL_SURFACE('',#10090,4.54730002274414)!#141=CYLINDRICAL_SURFACE('',#10093,4.54730002274414)!#142=CYLINDRICAL_SURFACE('',#10103,4.7244094488189)!#143=CYLINDRICAL_SURFACE('',#10106,4.7244094488189)!#144=CYLINDRICAL_SURFACE('',#10123,4.7244094488189)!#145=CYLINDRICAL_SURFACE('',#10124,4.7244094488189)!#146=CYLINDRICAL_SURFACE('',#10150,3.11023622047244)!#147=CYLINDRICAL_SURFACE('',#10160,4.13385826771654)!#148=CYLINDRICAL_SURFACE('',#10169,0.748031496062992)!#149=CYLINDRICAL_SURFACE('',#10170,1.25)!#150=CYLINDRICAL_SURFACE('',#10207,4.33070866141732)!#151=CYLINDRICAL_SURFACE('',#10213,3.74015748031496)!#152=CYLINDRICAL_SURFACE('',#10230,3.5630480542402)!#153=CYLINDRICAL_SURFACE('',#10249,3.5630480542402)!#154=CYLINDRICAL_SURFACE('',#10251,3.91732283464567)!#155=CYLINDRICAL_SURFACE('',#10254,0.452755905511811)!#156=CYLINDRICAL_SURFACE('',#10271,3.91732283464567)!#157=CYLINDRICAL_SURFACE('',#10272,3.91732283464567)!#158=CYLINDRICAL_SURFACE('',#10273,3.91732283464567)!#159=CYLINDRICAL_SURFACE('',#10274,3.91732283464567)!#160=CYLINDRICAL_SURFACE('',#10277,0.452755905511811)!#161=CYLINDRICAL_SURFACE('',#10287,0.191479136612826)!#162=CYLINDRICAL_SURFACE('',#10294,0.433070866141732)!#163=CYLINDRICAL_SURFACE('',#10299,0.216535433070866)!#164=CYLINDRICAL_SURFACE('',#10301,3.91732283464567)!#165=CYLINDRICAL_SURFACE('',#10302,3.91732283464567)!#166=CYLINDRICAL_SURFACE('',#10324,0.196850393700787)!#167=CYLINDRICAL_SURFACE('',#10349,6.00000000000001)!#168=CYLINDRICAL_SURFACE('',#10355,6.155)!#169=CYLINDRICAL_SURFACE('',#10369,0.12)!#170=CYLINDRICAL_SURFACE('',#10373,0.5)!#171=CYLINDRICAL_SURFACE('',#10377,0.12)!#172=CYLINDRICAL_SURFACE('',#10384,0.37)!#173=CYLINDRICAL_SURFACE('',#10388,0.25)!#174=CYLINDRICAL_SURFACE('',#10392,0.25)!#175=CYLINDRICAL_SURFACE('',#10396,0.37)!#176=CYLINDRICAL_SURFACE('',#10399,0.5)!#177=CYLINDRICAL_SURFACE('',#10406,6.255)!#178=CYLINDRICAL_SURFACE('',#10414,6.255)!#179=CYLINDRICAL_SURFACE('',#10422,0.302666666666666)!#180=CYLINDRICAL_SURFACE('',#10428,0.252222222222222)!#181=CYLINDRICAL_SURFACE('',#10438,6.25)!#182=CYLINDRICAL_SURFACE('',#10447,0.5)!#183=CYLINDRICAL_SURFACE('',#10451,0.5)!#184=CYLINDRICAL_SURFACE('',#10452,0.5)!#185=CYLINDRICAL_SURFACE('',#10454,0.5)!#186=CYLINDRICAL_SURFACE('',#10459,0.8)!#187=CYLINDRICAL_SURFACE('',#10464,0.8)!#188=CYLINDRICAL_SURFACE('',#10477,0.25)!#189=CYLINDRICAL_SURFACE('',#10479,0.125)!#190=CYLINDRICAL_SURFACE('',#10482,0.25)!#191=CYLINDRICAL_SURFACE('',#10484,0.25)!#192=CYLINDRICAL_SURFACE('',#10486,0.125)!#193=CYLINDRICAL_SURFACE('',#10489,0.125)!#194=CYLINDRICAL_SURFACE('',#10492,0.25)!#195=CYLINDRICAL_SURFACE('',#10494,0.125)!#196=CYLINDRICAL_SURFACE('',#10497,0.25)!#197=CYLINDRICAL_SURFACE('',#10499,0.25)!#198=CYLINDRICAL_SURFACE('',#10500,0.25)!#199=CYLINDRICAL_SURFACE('',#10502,0.25)!#200=CYLINDRICAL_SURFACE('',#10512,4.42913385826772)!#201=CYLINDRICAL_SURFACE('',#10524,4.21259842519685)!#202=CYLINDRICAL_SURFACE('',#10536,4.52755905511811)!#203=CYLINDRICAL_SURFACE('',#10537,4.52755905511811)!#204=CYLINDRICAL_SURFACE('',#10543,4.25)!#205=CYLINDRICAL_SURFACE('',#10554,0.5)!#206=CYLINDRICAL_SURFACE('',#10565,0.5)!#207=ELLIPSE('',#9723,0.42345521359804,0.379365610388595)!#208=ELLIPSE('',#9724,1.10389218418972,0.63985232471181)!#209=ELLIPSE('',#9738,0.865797041113166,0.340769910919312)!#210=B_SPLINE_CURVE_WITH_KNOTS('',2,(#13419,#13420,#13421), .UNSPECIFIED.,.F.,.F.,(3,3),(-0.372006802501886,-0.040550078895874), .UNSPECIFIED.)!#211=B_SPLINE_CURVE_WITH_KNOTS('',2,(#13424,#13425,#13426), .UNSPECIFIED.,.F.,.F.,(3,3),(0.040550078895874,0.372006802501886), .UNSPECIFIED.)!#212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13553,#13554,#13555,#13556,#13557, #13558,#13559),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.,3.,4.),.UNSPECIFIED.)!#213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13560,#13561,#13562,#13563,#13564, #13565,#13566),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,1.,2.),.UNSPECIFIED.)!#214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13583,#13584,#13585,#13586,#13587, #13588,#13589),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-2.,-1.,0.),.UNSPECIFIED.)!#215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13606,#13607,#13608,#13609,#13610, #13611,#13612),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-4.,-3.,-2.), .UNSPECIFIED.)!#216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13623,#13624,#13625,#13626), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.4661100807994,-12.),.UNSPECIFIED.)!#217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13641,#13642,#13643,#13644), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.929133415635,-12.4656054893545), .UNSPECIFIED.)!#218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13657,#13658,#13659,#13660), .UNSPECIFIED.,.F.,.F.,(4,4),(-13.,-12.9309171010217),.UNSPECIFIED.)!#219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13673,#13674,#13675,#13676), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.,0.),.UNSPECIFIED.)!#220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13679,#13680,#13681,#13682), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.)!#221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13758,#13759,#13760,#13761), .UNSPECIFIED.,.F.,.F.,(4,4),(-10.4425672029317,-10.),.UNSPECIFIED.)!#222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13764,#13765,#13766,#13767), .UNSPECIFIED.,.F.,.F.,(4,4),(10.,10.4425672026718),.UNSPECIFIED.)!#223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13777,#13778,#13779,#13780), .UNSPECIFIED.,.F.,.F.,(4,4),(-10.7230664063185,-10.4426682554847), .UNSPECIFIED.)!#224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13783,#13784,#13785,#13786), .UNSPECIFIED.,.F.,.F.,(4,4),(10.4426682554847,10.7230664063185), .UNSPECIFIED.)!#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13796,#13797,#13798,#13799), .UNSPECIFIED.,.F.,.F.,(4,4),(-11.,-10.7231064616884),.UNSPECIFIED.)!#226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13802,#13803,#13804,#13805), .UNSPECIFIED.,.F.,.F.,(4,4),(10.7231064616884,11.),.UNSPECIFIED.)!#227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13814,#13815,#13816,#13817), .UNSPECIFIED.,.F.,.F.,(4,4),(-12.,-11.),.UNSPECIFIED.)!#228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13818,#13819,#13820,#13821), .UNSPECIFIED.,.F.,.F.,(4,4),(11.,12.),.UNSPECIFIED.)!#229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13833,#13834,#13835,#13836), .UNSPECIFIED.,.F.,.F.,(4,4),(-16.784421917943,-16.),.UNSPECIFIED.)!#230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13840,#13841,#13842,#13843), .UNSPECIFIED.,.F.,.F.,(4,4),(16.,16.7844219140039),.UNSPECIFIED.)!#231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13854,#13855,#13856,#13857), .UNSPECIFIED.,.F.,.F.,(4,4),(-17.,-16.7874526993438),.UNSPECIFIED.)!#232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13860,#13861,#13862,#13863), .UNSPECIFIED.,.F.,.F.,(4,4),(16.7874526993438,17.),.UNSPECIFIED.)!#233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13873,#13874,#13875,#13876), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.643243985143712,0.),.UNSPECIFIED.)!#234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13879,#13880,#13881,#13882), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.643243985143712),.UNSPECIFIED.)!#235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13892,#13893,#13894,#13895), .UNSPECIFIED.,.F.,.F.,(4,4),(-1.,-0.643781394500289),.UNSPECIFIED.)!#236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13944,#13945,#13946,#13947), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.,-6.),.UNSPECIFIED.)!#237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13950,#13951,#13952,#13953), .UNSPECIFIED.,.F.,.F.,(4,4),(6.,7.),.UNSPECIFIED.)!#238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13963,#13964,#13965,#13966), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.4995602971089,-7.),.UNSPECIFIED.)!#239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13969,#13970,#13971,#13972), .UNSPECIFIED.,.F.,.F.,(4,4),(7.,7.4995602971089),.UNSPECIFIED.)!#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13982,#13983,#13984,#13985), .UNSPECIFIED.,.F.,.F.,(4,4),(-7.71891010937382,-7.5003694715116), .UNSPECIFIED.)!#241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13988,#13989,#13990,#13991), .UNSPECIFIED.,.F.,.F.,(4,4),(7.50036947151152,7.71891010756278), .UNSPECIFIED.)!#242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14001,#14002,#14003,#14004), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.,-7.71881484260919),.UNSPECIFIED.)!#243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14007,#14008,#14009,#14010), .UNSPECIFIED.,.F.,.F.,(4,4),(7.71881484260919,8.),.UNSPECIFIED.)!#244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14020,#14021,#14022,#14023), .UNSPECIFIED.,.F.,.F.,(4,4),(-8.28984622364296,-8.),.UNSPECIFIED.)!#245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14026,#14027,#14028,#14029), .UNSPECIFIED.,.F.,.F.,(4,4),(8.,8.28984622364296),.UNSPECIFIED.)!#246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14039,#14040,#14041,#14042), .UNSPECIFIED.,.F.,.F.,(4,4),(-9.,-8.2896218645714),.UNSPECIFIED.)!#247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14045,#14046,#14047,#14048), .UNSPECIFIED.,.F.,.F.,(4,4),(8.2896218645714,9.),.UNSPECIFIED.)!#248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14100,#14101,#14102,#14103), .UNSPECIFIED.,.F.,.F.,(4,4),(-14.6720928236444,-14.),.UNSPECIFIED.)!#249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14106,#14107,#14108,#14109), .UNSPECIFIED.,.F.,.F.,(4,4),(14.,14.6720928236444),.UNSPECIFIED.)!#250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14119,#14120,#14121,#14122), .UNSPECIFIED.,.F.,.F.,(4,4),(-14.801396939345,-14.6718623265348), .UNSPECIFIED.)!#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14125,#14126,#14127,#14128), .UNSPECIFIED.,.F.,.F.,(4,4),(14.6718623265348,14.801396939345), .UNSPECIFIED.)!#252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14138,#14139,#14140,#14141), .UNSPECIFIED.,.F.,.F.,(4,4),(-15.,-14.8019846685013),.UNSPECIFIED.)!#253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14144,#14145,#14146,#14147), .UNSPECIFIED.,.F.,.F.,(4,4),(14.8019846685013,15.),.UNSPECIFIED.)!#254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14156,#14157,#14158,#14159), .UNSPECIFIED.,.F.,.F.,(4,4),(-16.,-15.),.UNSPECIFIED.)!#255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14160,#14161,#14162,#14163), .UNSPECIFIED.,.F.,.F.,(4,4),(15.,16.),.UNSPECIFIED.)!#256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14168,#14169,#14170,#14171,#14172, #14173,#14174,#14175,#14176,#14177,#14178,#14179,#14180,#14181,#14182,#14183, #14184,#14185,#14186,#14187,#14188,#14189,#14190,#14191,#14192,#14193), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.120403462517607, 0.240806925035214,0.364678851274045,0.488550777512875,0.608441852109219, 0.728332926705562,0.848201844349553,0.968070761993544,1.08771309330605, 1.20735542461856,1.32747331992283,1.44759121522711),.UNSPECIFIED.)!#257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14194,#14195,#14196,#14197,#14198, #14199,#14200,#14201,#14202,#14203),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.44759121522711, 1.57035452495076,1.69311783467442,1.81463330178662,1.93614876889882), .UNSPECIFIED.)!#258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14207,#14208,#14209,#14210,#14211, #14212,#14213,#14214,#14215,#14216),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.121506654142299,0.243013308284597,0.365763182939541,0.488513057594484), .UNSPECIFIED.)!#259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14217,#14218,#14219,#14220,#14221, #14222,#14223,#14224,#14225,#14226,#14227,#14228,#14229,#14230,#14231,#14232, #14233,#14234,#14235,#14236,#14237,#14238,#14239,#14240,#14241,#14242), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.488513057594484,0.608628853589608, 0.728744649584732,0.848386803361378,0.968028957138025,1.08789678854672, 1.20776461995541,1.3276545058225,1.4475443916896,1.57139809987701,1.69525180806442, 1.81565127395877,1.93605073985313),.UNSPECIFIED.)!#260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14320,#14321,#14322,#14323,#14324, #14325,#14326,#14327,#14328,#14329,#14330,#14331,#14332,#14333,#14334,#14335, #14336,#14337,#14338,#14339,#14340,#14341,#14342,#14343,#14344,#14345), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.,0.120157313671167, 0.240314627342333,0.360455140880267,0.480595654418201,0.600736167956134, 0.720876681494068,0.841033995165236,0.961191308836404,1.08134862250757, 1.20150593617874,1.32164644971667,1.44178696325461),.UNSPECIFIED.)!#261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14346,#14347,#14348,#14349,#14350, #14351,#14352,#14353,#14354,#14355),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.44178696325461, 1.56192747679254,1.68206799033047,1.80222530400164,1.92238261767281), .UNSPECIFIED.)!#262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14359,#14360,#14361,#14362,#14363, #14364,#14365,#14366,#14367,#14368),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.120154978293213,0.240309956586426,0.360448272859365,0.480586589132305), .UNSPECIFIED.)!#263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14369,#14370,#14371,#14372,#14373, #14374,#14375,#14376,#14377,#14378,#14379,#14380,#14381,#14382,#14383,#14384, #14385,#14386,#14387,#14388,#14389,#14390,#14391,#14392,#14393,#14394), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.480586589132305,0.600724905405245, 0.720863221678185,0.841018199971398,0.96117317826461,1.08132815655782,1.20148313485104, 1.32162145112398,1.44175976739692,1.56189808366986,1.6820363999428,1.80219137823601, 1.92234635652922),.UNSPECIFIED.)!#264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14528,#14529,#14530,#14531,#14532, #14533,#14534,#14535,#14536,#14537),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.119642331312509,0.239284662625018,0.35940255792929,0.479520453233562), .UNSPECIFIED.)!#265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14538,#14539,#14540,#14541,#14542, #14543,#14544,#14545,#14546,#14547,#14548,#14549,#14550,#14551,#14552,#14553, #14554,#14555,#14556,#14557,#14558,#14559,#14560,#14561,#14562,#14563), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.479520453233562,0.602283762957217, 0.725047072680872,0.846562539793077,0.968078006905282,1.08848146942289, 1.2088849319405,1.33275685817933,1.45662878441816,1.5765198590145,1.69641093361084, 1.81627985125483,1.93614876889883),.UNSPECIFIED.)!#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14567,#14568,#14569,#14570,#14571, #14572,#14573,#14574,#14575,#14576),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.121506654142299,0.243013308284597,0.365763182939542,0.488513057594486), .UNSPECIFIED.)!#267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14577,#14578,#14579,#14580,#14581, #14582,#14583,#14584,#14585,#14586,#14587,#14588,#14589,#14590,#14591,#14592, #14593,#14594,#14595,#14596,#14597,#14598,#14599,#14600,#14601,#14602), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.488513057594486,0.60862885358961, 0.728744649584733,0.84838680336138,0.968028957138027,1.08789678854672,1.20776461995541, 1.3276545058225,1.4475443916896,1.57139809987701,1.69525180806442,1.81565127395878, 1.93605073985314),.UNSPECIFIED.)!#268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14680,#14681,#14682,#14683,#14684, #14685,#14686,#14687,#14688,#14689),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.120157313671168,0.240314627342337,0.36045514088027,0.480595654418203), .UNSPECIFIED.)!#269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14690,#14691,#14692,#14693,#14694, #14695,#14696,#14697,#14698,#14699,#14700,#14701,#14702,#14703,#14704,#14705, #14706,#14707,#14708,#14709,#14710,#14711,#14712,#14713,#14714,#14715), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.480595654418203,0.600736167956136, 0.720876681494069,0.841033995165238,0.961191308836406,1.08134862250757, 1.20150593617874,1.32164644971668,1.44178696325461,1.56192747679254,1.68206799033048, 1.80222530400164,1.92238261767281),.UNSPECIFIED.)!#270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14719,#14720,#14721,#14722,#14723, #14724,#14725,#14726,#14727,#14728),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.120154978293213,0.240309956586425,0.360448272859365,0.480586589132305), .UNSPECIFIED.)!#271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#14729,#14730,#14731,#14732,#14733, #14734,#14735,#14736,#14737,#14738,#14739,#14740,#14741,#14742,#14743,#14744, #14745,#14746,#14747,#14748,#14749,#14750,#14751,#14752,#14753,#14754), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.480586589132305,0.600724905405245, 0.720863221678185,0.841018199971397,0.96117317826461,1.08132815655782,1.20148313485103, 1.32162145112397,1.44175976739691,1.56189808366985,1.68203639994279,1.80219137823601, 1.92234635652922),.UNSPECIFIED.)!#272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15167,#15168,#15169,#15170,#15171, #15172,#15173,#15174,#15175,#15176,#15177,#15178,#15179,#15180,#15181,#15182, #15183,#15184),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.194030367067003, 0.388060734134006,0.594702178975009,0.801343623816012,0.990208570230606, 1.1790735166452,1.36899304055509,1.55891256446498),.UNSPECIFIED.)!#273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15185,#15186,#15187,#15188,#15189, #15190,#15191,#15192,#15193,#15194,#15195,#15196,#15197,#15198,#15199,#15200, #15201,#15202),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(1.55891256446498, 1.74883208837488,1.93875161228477,2.12761655869936,2.31648150511396,2.52312294995496, 2.72976439479596,2.92379476186296,3.11782512892997),.UNSPECIFIED.)!#274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15298,#15299,#15300,#15301,#15302, #15303,#15304,#15305,#15306,#15307,#15308,#15309,#15310,#15311,#15312,#15313, #15314,#15315,#15316,#15317,#15318,#15319,#15320,#15321,#15322,#15323,#15324), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,4),(-0.954978653211266, -0.814759581966715,-0.612701868069083,-0.41064415417145,-0.205322077085725, -0.102661038542863,0.,0.102661038542863,0.205322077085725,0.41064415417145, 0.612701868069083,0.814759581966715,0.954978653210904),.UNSPECIFIED.)!#275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15490,#15491,#15492,#15493,#15494, #15495,#15496,#15497,#15498,#15499),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110772403979375,0.222017731802303,0.333852287662695,0.349701275668382), .UNSPECIFIED.)!#276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15501,#15502,#15503,#15504,#15505, #15506,#15507,#15508,#15509,#15510),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.34970127566838, -0.333852287662695,-0.222017731802303,-0.110772403979374,0.), .UNSPECIFIED.)!#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15541,#15542,#15543,#15544,#15545, #15546,#15547,#15548,#15549,#15550),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111061737563325,0.222139959912488,0.333238645053525,0.348617733448985), .UNSPECIFIED.)!#278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15552,#15553,#15554,#15555,#15556, #15557,#15558,#15559,#15560,#15561),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348617733448984, -0.333238645053525,-0.222139959912488,-0.111061737563326,0.), .UNSPECIFIED.)!#279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15606,#15607,#15608,#15609,#15610, #15611,#15612,#15613,#15614,#15615),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111061737563326,0.222139959912489,0.333238645053525,0.348617733448985), .UNSPECIFIED.)!#280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15617,#15618,#15619,#15620,#15621, #15622,#15623,#15624,#15625,#15626),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348617733448983, -0.333238645053525,-0.222139959912488,-0.111061737563325,0.), .UNSPECIFIED.)!#281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15653,#15654,#15655,#15656,#15657, #15658,#15659,#15660,#15661,#15662),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110772403979374,0.222017731802303,0.333852287662695,0.349701275668385), .UNSPECIFIED.)!#282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15664,#15665,#15666,#15667,#15668, #15669,#15670,#15671,#15672,#15673),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.349701275668383, -0.333852287662695,-0.222017731802303,-0.110772403979374,0.), .UNSPECIFIED.)!#283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15770,#15771,#15772,#15773,#15774, #15775,#15776,#15777),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-6.11827872512305, -4.87717898494518,-2.30165793938337,0.),.UNSPECIFIED.)!#284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15779,#15780,#15781,#15782,#15783, #15784,#15785,#15786),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-10.0150767703456, -7.56718977022843,-5.84987608820945,-3.89736091737341),.UNSPECIFIED.)!#285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15798,#15799,#15800,#15801,#15802, #15803,#15804,#15805,#15806,#15807,#15808,#15809,#15810,#15811), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-5.50163098061981,-4.36803674258543, -3.67547052724765,-2.44307282257936,-1.10884567507318,-0.436489943736939, 0.),.UNSPECIFIED.)!#286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15815,#15816,#15817,#15818,#15819, #15820,#15821,#15822),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.795329863627727, -0.692931501609667,-0.346465750804832,0.),.UNSPECIFIED.)!#287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15824,#15825,#15826,#15827,#15828, #15829,#15830,#15831,#15832,#15833,#15834,#15835,#15836,#15837,#15838,#15839, #15840,#15841,#15842,#15843,#15844,#15845,#15846,#15847,#15848,#15849,#15850, #15851,#15852,#15853,#15854,#15855),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(0.,0.346465750804834,0.692931501609667,1.0393972524145, 1.38586300321933,1.919077327202,2.45229165118467,2.98550597516733,3.51872029915, 4.29067417827629,5.06262805740259,5.83458193652888,6.60653581565517,7.18946718718957, 7.77239855872398,7.87444823128144),.UNSPECIFIED.)!#288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15858,#15859,#15860,#15861,#15862, #15863),.UNSPECIFIED.,.F.,.F.,(4,2,4),(8.69861137726611,8.80066104982357, 9.2935328143077),.UNSPECIFIED.)!#289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15992,#15993,#15994,#15995,#15996, #15997,#15998,#15999,#16000,#16001),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111055757047255,0.222137332584037,0.333251171867733,0.348640063468127), .UNSPECIFIED.)!#290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16009,#16010,#16011,#16012,#16013, #16014,#16015,#16016,#16017,#16018),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348640063468126, -0.333251171867733,-0.222137332584037,-0.111055757047255,0.), .UNSPECIFIED.)!#291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16032,#16033,#16034,#16035,#16036, #16037,#16038,#16039,#16040,#16041),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110598788472016,0.221941882354533,0.334220631127754,0.350359455552306), .UNSPECIFIED.)!#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16047,#16048,#16049,#16050,#16051, #16052,#16053,#16054,#16055,#16056),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.350359455552306, -0.334220631127754,-0.221941882354533,-0.110598788472017,0.), .UNSPECIFIED.)!#293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16079,#16080,#16081,#16082,#16083, #16084,#16085,#16086,#16087,#16088),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.348640063468125, -0.333251171867733,-0.222137332584037,-0.111055757047255,0.), .UNSPECIFIED.)!#294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16098,#16099,#16100,#16101,#16102, #16103,#16104,#16105,#16106,#16107),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.110598788472016,0.221941882354533,0.334220631127755,0.350359455552308), .UNSPECIFIED.)!#295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16120,#16121,#16122,#16123,#16124, #16125,#16126,#16127,#16128,#16129),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.350359455552306, -0.334220631127754,-0.221941882354533,-0.110598788472017,0.), .UNSPECIFIED.)!#296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16145,#16146,#16147,#16148,#16149, #16150,#16151,#16152,#16153,#16154),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.111055757047255,0.222137332584037,0.333251171867733,0.348640063468127), .UNSPECIFIED.)!#297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16248,#16249,#16250,#16251,#16252, #16253,#16254,#16255,#16256,#16257,#16258,#16259,#16260,#16261,#16262,#16263, #16264,#16265,#16266,#16267,#16268,#16269,#16270,#16271,#16272,#16273), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,4),(0.435094169473951,0.641867289195009, 0.878519076510463,1.07376280382196,1.26900653113346,1.45776458370173,1.64652263627, 1.83528068883828,2.02403874140655,2.21928246871805,2.41452619602955,2.651177983345, 2.85795110306605),.UNSPECIFIED.)!#298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16336,#16337,#16338,#16339,#16340, #16341,#16342,#16343,#16344,#16345,#16346,#16347,#16348,#16349,#16350,#16351, #16352,#16353,#16354,#16355,#16356,#16357,#16358,#16359,#16360,#16361,#16362), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,3,2,2,2,2,2,4),(-0.954978653211265, -0.814759581966716,-0.612701868069084,-0.410644154171451,-0.205322077085725, -0.102661038542863,0.,0.102661038542863,0.205322077085725,0.410644154171451, 0.612701868069083,0.814759581966716,0.954978653210904),.UNSPECIFIED.)!#299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16571,#16572,#16573,#16574,#16575, #16576,#16577,#16578,#16579,#16580,#16581,#16582,#16583,#16584,#16585,#16586, #16587,#16588),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.47127424984689, 0.94254849969378,1.41382274954067,1.88509699938756,2.34676249235957,2.80842798533158, 3.27009347830358,3.73175897127559),.UNSPECIFIED.)!#300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16589,#16590,#16591,#16592,#16593, #16594,#16595,#16596,#16597,#16598,#16599,#16600,#16601,#16602,#16603,#16604, #16605,#16606,#16607,#16608,#16609,#16610,#16611,#16612,#16613,#16614,#16615, #16616,#16617,#16618,#16619,#16620,#16621,#16622,#16623,#16624,#16625,#16626, #16627,#16628,#16629,#16630,#16631,#16632,#16633,#16634,#16635,#16636,#16637, #16638),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,4),(3.73175897127559,4.1934244642476,4.65508995721961,5.11675545019162, 5.57842094316362,6.04969519301051,6.5209694428574,6.9922436927043,7.46351794255119, 7.93479219239808,8.40606644224497,8.87734069209186,9.34861494193875,9.81028043491075, 10.2719459278828,10.7336114208548,11.1952769138268,11.6569424067988,12.1186078997708, 12.5802733927428,13.0419388857148,13.5132131355617,13.9844873854086,14.4557616352555, 14.9270358851024),.UNSPECIFIED.)!#301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16800,#16801,#16802,#16803,#16804, #16805,#16806,#16807,#16808,#16809),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.978379948717378, -0.728077681434364,-0.47777541415135,-0.238887707075675,0.), .UNSPECIFIED.)!#302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16812,#16813,#16814,#16815,#16816, #16817,#16818,#16819,#16820,#16821),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.95168860051944, 2.19057630759512,2.42946401467079,2.67976628195381,2.93006854923682), .UNSPECIFIED.)!#303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16846,#16847,#16848,#16849,#16850, #16851,#16852,#16853,#16854,#16855),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.973304382239764, 1.22360664952278,1.47390891680579,1.71279662388147,1.95168433095714), .UNSPECIFIED.)!#304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16859,#16860,#16861,#16862,#16863, #16864,#16865,#16866,#16867,#16868),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.238887707075675,0.47777541415135,0.728077681434364,0.978379948717378), .UNSPECIFIED.)!#305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16896,#16897,#16898,#16899,#16900, #16901,#16902,#16903),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.356221244542216, 0.450727019525463,0.581383681429155,0.712165718863737),.UNSPECIFIED.)!#306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16907,#16908,#16909,#16910,#16911, #16912,#16913,#16914,#16915,#16916,#16917,#16918),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.149699959968799,0.303973786629544,0.450727019525413, 0.581383681429121,0.712165718863693),.UNSPECIFIED.)!#307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16921,#16922,#16923,#16924,#16925, #16926,#16927,#16928),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.149699959968796, 0.30397378662957,0.356221244542216),.UNSPECIFIED.)!#308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16933,#16934,#16935,#16936,#16937, #16938),.UNSPECIFIED.,.F.,.F.,(4,2,4),(1.54637605625894,1.73554132205122, 2.0763586845364),.UNSPECIFIED.)!#309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16939,#16940,#16941,#16942,#16943, #16944),.UNSPECIFIED.,.F.,.F.,(4,2,4),(2.0763586845364,2.41717604702159, 2.60634131281385),.UNSPECIFIED.)!#310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16947,#16948,#16949,#16950,#16951, #16952),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.529982628277453,-0.340817362485185, 0.),.UNSPECIFIED.)!#311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#16953,#16954,#16955,#16956,#16957, #16958),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.340817362485185,0.52998262827746), .UNSPECIFIED.)!#312=B_SPLINE_SURFACE_WITH_KNOTS('',2,1,((#13412,#13413),(#13414,#13415), (#13416,#13417)),.UNSPECIFIED.,.F.,.F.,.F.,(3,3),(2,2),(0.040550078895874, 0.372006802501886),(0.,0.0012),.UNSPECIFIED.)!#313=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13567,#13568),(#13569,#13570), (#13571,#13572),(#13573,#13574),(#13575,#13576),(#13577,#13578),(#13579, #13580)),.UNSPECIFIED.,.F.,.F.,.F.,(4,3,4),(2,2),(0.,1.,2.),(0.,0.0012), .UNSPECIFIED.)!#314=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13592,#13593),(#13594,#13595), (#13596,#13597),(#13598,#13599),(#13600,#13601),(#13602,#13603),(#13604, #13605)),.UNSPECIFIED.,.F.,.F.,.F.,(4,3,4),(2,2),(2.,3.,4.),(0.,0.0012), .UNSPECIFIED.)!#315=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13613,#13614),(#13615,#13616), (#13617,#13618),(#13619,#13620)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.,12.4661100807994),(0.,0.0012),.UNSPECIFIED.)!#316=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13632,#13633),(#13634,#13635), (#13636,#13637),(#13638,#13639)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.4656054893545,12.929133415635),(0.,0.0012),.UNSPECIFIED.)!#317=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13648,#13649),(#13650,#13651), (#13652,#13653),(#13654,#13655)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (12.9309171010217,13.),(0.,0.0012),.UNSPECIFIED.)!#318=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13664,#13665),(#13666,#13667), (#13668,#13669),(#13670,#13671)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.,1.),(0.,0.0012),.UNSPECIFIED.)!#319=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13749,#13750),(#13751,#13752), (#13753,#13754),(#13755,#13756)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.,10.4425672029317),(0.,0.0012),.UNSPECIFIED.)!#320=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13768,#13769),(#13770,#13771), (#13772,#13773),(#13774,#13775)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.4426682554847,10.7230664063185),(0.,0.0012),.UNSPECIFIED.)!#321=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13787,#13788),(#13789,#13790), (#13791,#13792),(#13793,#13794)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (10.7231064616884,11.),(0.,0.0012),.UNSPECIFIED.)!#322=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13806,#13807),(#13808,#13809), (#13810,#13811),(#13812,#13813)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (11.,12.),(0.,0.0012),.UNSPECIFIED.)!#323=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13823,#13824),(#13825,#13826), (#13827,#13828),(#13829,#13830)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (16.,16.784421917943),(0.,0.0012),.UNSPECIFIED.)!#324=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13845,#13846),(#13847,#13848), (#13849,#13850),(#13851,#13852)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (16.7874526993438,17.),(0.,0.0012),.UNSPECIFIED.)!#325=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13864,#13865),(#13866,#13867), (#13868,#13869),(#13870,#13871)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.,0.643243985143712),(0.,0.0012),.UNSPECIFIED.)!#326=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13883,#13884),(#13885,#13886), (#13887,#13888),(#13889,#13890)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (0.643781394500289,1.),(0.,0.0012),.UNSPECIFIED.)!#327=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13935,#13936),(#13937,#13938), (#13939,#13940),(#13941,#13942)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (6.,7.),(0.,0.0012),.UNSPECIFIED.)!#328=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13954,#13955),(#13956,#13957), (#13958,#13959),(#13960,#13961)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.,7.4995602971089),(0.,0.0012),.UNSPECIFIED.)!#329=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13973,#13974),(#13975,#13976), (#13977,#13978),(#13979,#13980)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.5003694715116,7.71891010937382),(0.,0.0012),.UNSPECIFIED.)!#330=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#13992,#13993),(#13994,#13995), (#13996,#13997),(#13998,#13999)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (7.71881484260919,8.),(0.,0.0012),.UNSPECIFIED.)!#331=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14011,#14012),(#14013,#14014), (#14015,#14016),(#14017,#14018)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (8.,8.28984622364296),(0.,0.0012),.UNSPECIFIED.)!#332=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14030,#14031),(#14032,#14033), (#14034,#14035),(#14036,#14037)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (8.2896218645714,9.),(0.,0.0012),.UNSPECIFIED.)!#333=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14091,#14092),(#14093,#14094), (#14095,#14096),(#14097,#14098)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.,14.6720928236444),(0.,0.0012),.UNSPECIFIED.)!#334=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14110,#14111),(#14112,#14113), (#14114,#14115),(#14116,#14117)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.6718623265348,14.801396939345),(0.,0.0012),.UNSPECIFIED.)!#335=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14129,#14130),(#14131,#14132), (#14133,#14134),(#14135,#14136)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (14.8019846685013,15.),(0.,0.0012),.UNSPECIFIED.)!#336=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#14148,#14149),(#14150,#14151), (#14152,#14153),(#14154,#14155)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2,2), (15.,16.),(0.,0.0012),.UNSPECIFIED.)!337=2,(#13227,#13228,#13229,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3,(0578885071316,11.157770142683)UNSEFED)#338=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13232,#13233,#13234),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#339=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13238,#13239,#13240),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!340=2,(#13241,#13242,#13243,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11.157770142683)UNSEFED341=2,(#13248,#13249,#13250,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0578885071317,1.1157770142683)UNSEFED)#342=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13252,#13253,#13254),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#343=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13258,#13259,#13260),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!344=2,(#13261,#13262,#13263,.UNSEFEED.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0578885071316,11.157770142683)UNSEFED)345=2,(#13268,#13269,#13270,.UNSEFEDE.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,5578885071316,11.157770142683).UNSEFED.CURVE#346=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13272,#13273,#13274),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#347=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13277,#13278,#13279),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!348=2,(#13280,#13281,#13282,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3,(057888507131416,11.157770142683)UNSEFED)349=2,(#13321,#13322,#13323).UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11.157770142683).UNSEFEDE#350=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13326,#13327,#13328),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#351=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13332,#13333,#13334),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVE353=2,2#13342#13343#13344.UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,5578885071317,11157770142683)UNSEFED#354=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13346,#13347,#13348),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!#355=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13352,#13353,#13354),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unservie.F.)Bslive_Curvee_Curve#3,3(3,3(05788850713142616,111577142683).UNSEFEGEETRET_BSLINE_CURVEservie.f.bsline_CURVED_WITH_KNOTS(3,3,3,3,5,78885071316,11157770142683)#358=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13366,#13367,#13368),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!#359=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#13371,#13372,#13373),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!360=2,(#13374,#13375,#13376).UNspeifesperified.B.F.F.F.Spline_CURVE_WITH_KNOTS(3,3,3(0.486908403011,0.973298800222).UNspeife.CURVE2,#14246#14248#362=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14251,#14252,#14253),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#363=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14257,#14258,#14259),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!sperified.B.F.F.F.Spline_CURVETH_KNOTS(3,3,3(0.486908403011,0.973298800233).UNspefe365=2,2,(#14267,#14268,#14269,.UNSEFEED.F.F.)BslINE_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.973298800233)UNSEFED)#366=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14271,#14272,#14273),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!367=2,(#14276,#14277,#14278,.UNSEFEED.F.F.)BslINE_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.973298800233)UNSEFED)#368=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14280,#14281,#14282),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!369=2,(#14398,#14399#14400,.UNSEFEED.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0.486908403011,0.973298800222)UNSEFED)#370=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14403,#14404,#14405),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#371=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14409,#14410,#14411),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.f.f.xline_CURVETS(3,3,3,04.860840011,0.973298800233).unspefeunspeified.bf.f.f.xline_Curveth_KNOTS(3,3,3(0.486908403012,0.97329880023).UNspeife#374=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14423,#14424,#14425),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!375=2,2#14428#14429#14430.UNSEFED.F.F.BSLINEET_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.973298800233).UNSEFED)#376=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14432,#14433,#14434),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!377=2,(#14606,#14607,#14608,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0.486908403011,0.973298800222)UNSEFED)#378=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14611,#14612,#14613),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#379=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14617,#14618,#14619),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!380=2,(#14620,#14621,#14622,.UNSEFED.F.)servie2,#14627,#14628,#14629,.UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.973298800233).UNSEFED)#382=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14631,#14632,#14633),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!CURVE2,#14636,#14637,#14638,.UNSEFEED.F.F.BSPLINEEED_CURVE_WITH_KNOTS(3,3,3(0.486908403012,0.973298800233).UNSEFED)#384=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14640,#14641,#14642),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!CURVE2,(#14758,#14759,#14760,.UNSEFEED.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0.486908403011,0.973298800222)UNSEFED)#386=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14763,#14764,#14765),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!#387=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14769,#14770,#14771),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!388=2,(#14772,#14773,#14774,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0.486908403011,0.973298800233)UNSEFED)UNSEFEED_CURVE2,B#14779,#14780,#14781,.UNSEFEED.F.F.BSPLINEEET_CURVE_WITH_KNOTSs3,3,986084003012,0.973298800233,.UNSEFEDTE#390=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14783,#14784,#14785),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003011),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unspeified.b.F.f.)ssline_CURVETS(3,3,3(0.486908402012,0.973298800233).UNSEVE#392=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#14792,#14793,#14794),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.486649084003012),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.09868411346781,1.)) REPRESENTATION_ITEM('') )!unservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3(0,372671422276,0743851344452).UNspeife#394=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15049,#15050,#15051),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!#395=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15055,#15056,#15057),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!396=2,(#15058,#15059,#15060).UNSEFEED.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,(0,372671422276,0743851342452).UNSEFED397=2,(#15065,#15066,#15067).UNSEFEED.F.BSLINEED_CURVE_WITH_KNOTS(3,3,3,(0,37267142277,0743851342453).UNSEFEDE#398=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15069,#15070,#15071),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!#399=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15075,#15076,#15077),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!400=2,(#15078,#15079,#15080).UNSEFEDE.F.F.BsLINE_CURVE_WITH_KNOTS(3,3,3,(0,372671422276,0743851342451).UNSEFEDunservie.F.)Bsline_Curve_WITH_KNOTS(3,3,3(0,372671422276,074385134452).UNSEFE#402=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15089,#15090,#15091),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422277),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!#403=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15094,#15095,#15096),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!unspreife..f.bslinde_Curvee_WITH_KNOTS(3,3,3(0,37267142276,0743851344452).UNspeife#405=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15469,#15470,#15471),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.472251879779004),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00040039502706,1.00042889461655)) REPRESENTATION_ITEM('') )!#406=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15481,#15482,#15483),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.112427701560855,0.592981151876095), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00008700207756,1.00022943897034,1.)) REPRESENTATION_ITEM('') )!#407=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15487,#15488,#15489),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.748648838207843),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00044077677612,1.)) REPRESENTATION_ITEM('') )!#408=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15512,#15513,#15514),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.748648838207843),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00044077674125,1.)) REPRESENTATION_ITEM('') )!#409=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15534,#15535,#15536),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.539926260683968,1.00846337127883), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00042089617243,1.00039307068753,1.)) REPRESENTATION_ITEM('') )!#410=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15538,#15539,#15540),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742804053228846),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00043307179867,1.)) REPRESENTATION_ITEM('') )!#411=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15562,#15563,#15564),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742804053228846),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00043307248115,1.)) REPRESENTATION_ITEM('') )!#412=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15566,#15567,#15568),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.476751592376655),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00022532802354,1.00008541307377)) REPRESENTATION_ITEM('') )!#413=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15585,#15586,#15587),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.468537110594533),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00039307069431,1.00042089617969)) REPRESENTATION_ITEM('') )!#414=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15597,#15598,#15599),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.111489671000695,0.588241263380073), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00008541308887,1.00022532806337,1.)) REPRESENTATION_ITEM('') )!#415=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15603,#15604,#15605),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742804053228846),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00043307248115,1.)) REPRESENTATION_ITEM('') )!#416=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15628,#15629,#15630),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742804053228846),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00043307179867,1.)) REPRESENTATION_ITEM('') )!#417=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15646,#15647,#15648),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.544632357449185,1.01688423722867), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00042889460722,1.00040039501835,1.)) REPRESENTATION_ITEM('') )!#418=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15650,#15651,#15652),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.748648838207843),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00044077674125,1.)) REPRESENTATION_ITEM('') )!#419=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15674,#15675,#15676),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.748648838207843),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00044077677612,1.)) REPRESENTATION_ITEM('') )!#420=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15678,#15679,#15680),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.480553450314817),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00022943896419,1.00008700207523)) REPRESENTATION_ITEM('') )!#421=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15932,#15933,#15934),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0546988821612556,0.532336376985424), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00006745680093,1.00032824902076,1.)) REPRESENTATION_ITEM('') )!#422=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15938,#15939,#15940),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.477637494945524),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00032825183235,1.00006745737858)) REPRESENTATION_ITEM('') )!#423=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15944,#15945,#15946),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0554540463219707,0.53929137667985), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00006950723021,1.00033797939332,1.)) REPRESENTATION_ITEM('') )!#424=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15950,#15951,#15952),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.483837330327106),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00033797939387,1.00006950723036)) REPRESENTATION_ITEM('') )!#425=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#15988,#15989,#15990),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.259155641255942),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00024229934949,1.00031555674227)) REPRESENTATION_ITEM('') )!#426=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16020,#16021,#16022),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742930861816721),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00069461380221,1.)) REPRESENTATION_ITEM('') )!#427=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16064,#16065,#16066),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.752387611685675),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00071464303102,1.)) REPRESENTATION_ITEM('') )!#428=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16072,#16073,#16074),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.490115897267532,0.752387611685676), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00032455338789,1.0002491144949,1.)) REPRESENTATION_ITEM('') )!#429=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16110,#16111,#16112),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.48377522056078,0.742930861816721), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.00031555674227,1.00024229934949,1.)) REPRESENTATION_ITEM('') )!#430=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16113,#16114,#16115),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.262271714418139),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.0002491144949,1.00032455338789)) REPRESENTATION_ITEM('') )!#431=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16131,#16132,#16133),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.752387611685675),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00071464303102,1.)) REPRESENTATION_ITEM('') )!#432=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16138,#16139,#16140),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.742930861816721),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00069461380221,1.)) REPRESENTATION_ITEM('') )!433=2,(#16472,#16473,#16474,.UNSEFEED.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0,372671422276,0743851342452).UNSEFED#434=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16478,#16479,#16480),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!435=2,#1682,#16483,#16484,.UNSEFEED.F.BSLINET_CURVE_WITH_KNOTS(3,3,3(0,372671422276,0743851342451).UNSEFED#436=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16487,#16488,#16489),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!437=2,(#16491,#16492,#16493,.UNSEFEED.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(0,372671422276,0743851342452).UNSEFED#438=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16496,#16497,#16498),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!#439=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16501,#16502,#16503),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930206,1.)) REPRESENTATION_ITEM('') )!440=2,(#16504,#16505,#16506).UNSEFED.F.BSLINEET_CURVE_WITH_KNOTS(3,3,3(0,372671422276,07438513424552).UNSEFED#441=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16510,#16511,#16512),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422277),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!442=2,(#16513,#16514,#16515,.UNSEFEDE.F.F.)BSLINE_CURVE_WITH_KNOTS(3,3,3(0,372671422277,0743851342453).UNSEFED#443=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#16518,#16519,#16520),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.371925671422276),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930205,1.)) REPRESENTATION_ITEM('') )!444=2,2,#16521,#16522,#16523,.UNSEFED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(0,372671422276,0743851342452).UNSEFED445=2,(#17267,#17268,#17269,.UNSEFED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,(057888507131416,11157770142683).UNSEFEDE#446=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17273,#17274,#17275),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!447=2,(#1727,#17277,#17278,#17279).UNSEFEED.F.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(0557888507131416,11157770142683).UNSEFEED)#448=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17282,#17283,#17284),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!449=2,(#17286,#17287,#17288,.UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,(057888507131416,11157770142683).UNSEFEDE#450=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17291,#17292,#17293),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#451=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17296,#17297,#17298),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspeifiede.F.F.B_SPLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11157770142683).UNSEFE#453=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17305,#17306,#17307),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!unservie.f.bsline_CURVETS(3,3,3,5578885071317,11157770142683)#455=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17313,#17314,#17315),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!unspeifiede.F.)B_SPLINE_CURVE_WITH_KNOTS(3,3,3,557888507131416,11157770142683).UNSEFE557=2,(#17345,#17346,#17347,.UNSEFEED.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3,(057888507131416,11157770142683).UNSEFEDE#458=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17351,#17352,#17353),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!回历14683年2月2日(#17355#1735#173556#17357).UNSEFEED.F.F.F.BSLINE_CURVE_WITH_KNOTS(3,3,3(057888507131416,11157770142683).UNSEFED)#460=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17360,#17361,#17362),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930204,1.)) REPRESENTATION_ITEM('') )!unspreife..f.)Bsline_Curve_WITH_KNOTS(3,3,3,557888507131416,11.157770142683)#462=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17369,#17370,#17371),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930201,1.)) REPRESENTATION_ITEM('') )!#463=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17374,#17375,#17376),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!with_KNOTS(3,3,3,5578885071316,11157770142683)#465=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17383,#17384,#17385),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133417),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930202,1.)) REPRESENTATION_ITEM('') )!四十六=2,2,(#17386,#17387,#17388).UNSEFED.F.BSLINE_CURVE_WITH_KNOTS3,3,3,5578885071317,11157770142683).UNSEFED#467=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#17391,#17392,#17393),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.557888507133416),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.03795484930203,1.)) REPRESENTATION_ITEM('') )!with_KNOTS (3), (05788850713142683),.UNSETORIC_Resertuation_ITEM#469=PLANE('',#9654)!#470=PLANE('',#9667)!#471=PLANE('',#9668)!#472=PLANE('',#9669)!#473=PLANE('',#9670)!#474=PLANE('',#9671)!#475=PLANE('',#9672)!#476=PLANE('',#9673)!#477=PLANE('',#9678)!#478=PLANE('',#9691)!#479=PLANE('',#9692)!#480=PLANE('',#9693)!#481=PLANE('',#9694)!#482=PLANE('',#9695)!#483=PLANE('',#9696)!#484=PLANE('',#9697)!#485=PLANE('',#9698)!#486=PLANE('',#9699)!#487=PLANE('',#9700)!#488=PLANE('',#9701)!#489=PLANE('',#9702)!#490=PLANE('',#9703)!#491=PLANE('',#9704)!#492=PLANE('',#9705)!#493=PLANE('',#9706)!#494=PLANE('',#9707)!#495=PLANE('',#9708)!#496=PLANE('',#9709)!#497=PLANE('',#9710)!#498=PLANE('',#9711)!#499=PLANE('',#9712)!#500=PLANE('',#9713)!#501=PLANE('',#9714)!#502=PLANE('',#9715)!#503=PLANE('',#9716)!#504=PLANE('',#9717)!#505=PLANE('',#9718)!#506=PLANE('',#9719)!#507=PLANE('',#9720)!#508=PLANE('',#9721)!#509=PLANE('',#9722)!#510=PLANE('',#9726)!#511=PLANE('',#9727)!#512=PLANE('',#9728)!#513=PLANE('',#9729)!#514=PLANE('',#9730)!#515=PLANE('',#9731)!#516=PLANE('',#9732)!#517=PLANE('',#9733)!#518=PLANE('',#9734)!#519=PLANE('',#9735)!#520=PLANE('',#9736)!#521=PLANE('',#9737)!#522=PLANE('',#9739)!#523=PLANE('',#9740)!#524=PLANE('',#9741)!#525=PLANE('',#9742)!#526=PLANE('',#9743)!#527=PLANE('',#9744)!#528=PLANE('',#9745)!#529=PLANE('',#9746)!#530=PLANE('',#9747)!#531=PLANE('',#9748)!#532=PLANE('',#9749)!#533=PLANE('',#9750)!#534=PLANE('',#9751)!#535=PLANE('',#9752)!#536=PLANE('',#9762)!#537=PLANE('',#9763)!#538=PLANE('',#9764)!#539=PLANE('',#9765)!#540=PLANE('',#9766)!#541=PLANE('',#9767)!#542=PLANE('',#9777)!#543=PLANE('',#9778)!#544=PLANE('',#9779)!#545=PLANE('',#9780)!#546=PLANE('',#9781)!#547=PLANE('',#9792)!#548=PLANE('',#9808)!#549=PLANE('',#9809)!#550=PLANE('',#9810)!#551=PLANE('',#9811)!#552=PLANE('',#9812)!#553=PLANE('',#9822)!#554=PLANE('',#9823)!#555=PLANE('',#9824)!#556=PLANE('',#9825)!#557=PLANE('',#9826)!#558=PLANE('',#9827)!#559=PLANE('',#9837)!#560=PLANE('',#9838)!#561=PLANE('',#9839)!#562=PLANE('',#9840)!#563=PLANE('',#9841)!#564=PLANE('',#9864)!#565=PLANE('',#9865)!#566=PLANE('',#9866)!#567=PLANE('',#9867)!#568=PLANE('',#9868)!#569=PLANE('',#9871)!#570=PLANE('',#9872)!#571=PLANE('',#9897)!#572=PLANE('',#9906)!#573=PLANE('',#9917)!#574=PLANE('',#9922)!#575=PLANE('',#9945)!#576=PLANE('',#9954)!#577=PLANE('',#9977)!#578=PLANE('',#9978)!#579=PLANE('',#9979)!#580=PLANE('',#9980)!#581=PLANE('',#9981)!#582=PLANE('',#9982)!#583=PLANE('',#9983)!#584=PLANE('',#9984)!#585=PLANE('',#9985)!#586=PLANE('',#9988)!#587=PLANE('',#9989)!#588=PLANE('',#9991)!#589=PLANE('',#9992)!#590=PLANE('',#9993)!#591=PLANE('',#9994)!#592=PLANE('',#9995)!#593=PLANE('',#9996)!#594=PLANE('',#9997)!#595=PLANE('',#9998)!#596=PLANE('',#9999)!#597=PLANE('',#10000)!#598=PLANE('',#10001)!#599=PLANE('',#10002)!#600=PLANE('',#10003)!#601=PLANE('',#10004)!#602=PLANE('',#10005)!#603=PLANE('',#10006)!#604=PLANE('',#10007)!#605=PLANE('',#10015)!#606=PLANE('',#10017)!#607=PLANE('',#10022)!#608=PLANE('',#10023)!#609=PLANE('',#10025)!#610=PLANE('',#10028)!#611=PLANE('',#10029)!#612=PLANE('',#10030)!#613=PLANE('',#10033)!#614=PLANE('',#10034)!#615=PLANE('',#10037)!#616=PLANE('',#10055)!#617=PLANE('',#10058)!#618=PLANE('',#10061)!#619=PLANE('',#10063)!#620=PLANE('',#10064)!#621=PLANE('',#10065)!#622=PLANE('',#10068)!#623=PLANE('',#10069)!#624=PLANE('',#10073)!#625=PLANE('',#10082)!#626=PLANE('',#10089)!#627=PLANE('',#10096)!#628=PLANE('',#10115)!#629=PLANE('',#10117)!#630=PLANE('',#10135)!#631=PLANE('',#10153)!#632=PLANE('',#10161)!#633=PLANE('',#10167)!#634=PLANE('',#10178)!#635=PLANE('',#10194)!#636=PLANE('',#10217)!#637=PLANE('',#10231)!#638=PLANE('',#10240)!#639=PLANE('',#10250)!#640=PLANE('',#10252)!#641=PLANE('',#10253)!#642=PLANE('',#10259)!#643=PLANE('',#10262)!#644=PLANE('',#10263)!#645=PLANE('',#10264)!#646=PLANE('',#10267)!#647=PLANE('',#10269)!#648=PLANE('',#10275)!#649=PLANE('',#10279)!#650=PLANE('',#10280)!#651=PLANE('',#10281)!#652=PLANE('',#10282)!#653=PLANE('',#10286)!#654=PLANE('',#10289)!#655=PLANE('',#10290)!#656=PLANE('',#10293)!#657=PLANE('',#10297)!#658=PLANE('',#10298)!#659=PLANE('',#10303)!#660=PLANE('',#10304)!#661=PLANE('',#10305)!#662=PLANE('',#10306)!#663=PLANE('',#10307)!#664=PLANE('',#10308)!#665=PLANE('',#10311)!#666=PLANE('',#10312)!#667=PLANE('',#10313)!#668=PLANE('',#10314)!#669=PLANE('',#10315)!#670=PLANE('',#10316)!#671=PLANE('',#10317)!#672=PLANE('',#10318)!#673=PLANE('',#10319)!#674=PLANE('',#10320)!#675=PLANE('',#10321)!#676=PLANE('',#10322)!#677=PLANE('',#10325)!#678=PLANE('',#10332)!#679=PLANE('',#10333)!#680=PLANE('',#10334)!#681=PLANE('',#10335)!#682=PLANE('',#10336)!#683=PLANE('',#10337)!#684=PLANE('',#10344)!#685=PLANE('',#10352)!#686=PLANE('',#10364)!#687=PLANE('',#10367)!#688=PLANE('',#10368)!#689=PLANE('',#10372)!#690=PLANE('',#10376)!#691=PLANE('',#10380)!#692=PLANE('',#10383)!#693=PLANE('',#10387)!#694=PLANE('',#10391)!#695=PLANE('',#10395)!#696=PLANE('',#10402)!#697=PLANE('',#10405)!#698=PLANE('',#10408)!#699=PLANE('',#10413)!#700=PLANE('',#10416)!#701=PLANE('',#10417)!#702=PLANE('',#10425)!#703=PLANE('',#10432)!#704=PLANE('',#10433)!#705=PLANE('',#10442)!#706=PLANE('',#10449)!#707=PLANE('',#10453)!#708=PLANE('',#10455)!#709=PLANE('',#10458)!#710=PLANE('',#10461)!#711=PLANE('',#10463)!#712=PLANE('',#10465)!#713=PLANE('',#10470)!#714=PLANE('',#10471)!#715=PLANE('',#10476)!#716=PLANE('',#10498)!#717=PLANE('',#10501)!#718=PLANE('',#10503)!#719=PLANE('',#10540)!#720=PLANE('',#10548)!#721=PLANE('',#10559)!#722=PLANE('',#10566)!#723=PLANE('',#10573)!#724=PLANE('',#10574)!#725=PLANE('',#10575)!#726=PLANE('',#10576)!#727=PLANE('',#10577)!#728=PLANE('',#10578)!#729=PLANE('',#10585)!#730=PLANE('',#10588)!#731=PLANE('',#10595)!#732=PLANE('',#10596)!#733=PLANE('',#10597)!#734=PLANE('',#10598)!#735=PLANE('',#10599)!#736=PLANE('',#10600)!#737=PLANE('',#10607)!#738=FACE_OUTER_BOUND('',#1256,.T.)!#739=FACE_OUTER_BOUND('',#1257,.T.)!#740=FACE_OUTER_BOUND('',#1258,.T.)!#741=FACE_OUTER_BOUND('',#1259,.T.)!#742=FACE_OUTER_BOUND('',#1260,.T.)!#743=FACE_OUTER_BOUND('',#1261,.T.)!#744=FACE_OUTER_BOUND('',#1262,.T.)!#745=FACE_OUTER_BOUND('',#1263,.T.)!#746=FACE_OUTER_BOUND('',#1264,.T.)!#747=FACE_OUTER_BOUND('',#1265,.T.)!#748=FACE_OUTER_BOUND('',#1266,.T.)!#749=FACE_OUTER_BOUND('',#1267,.T.)!#750=FACE_OUTER_BOUND('',#1268,.T.)!#751=FACE_OUTER_BOUND('',#1269,.T.)!#752=FACE_OUTER_BOUND('',#1270,.T.)!#753=FACE_OUTER_BOUND('',#1271,.T.)!#754=FACE_OUTER_BOUND('',#1272,.T.)!#755=FACE_OUTER_BOUND('',#1273,.T.)!#756=FACE_OUTER_BOUND('',#1274,.T.)!#757=FACE_OUTER_BOUND('',#1275,.T.)!#758=FACE_OUTER_BOUND('',#1276,.T.)!#759=FACE_OUTER_BOUND('',#1277,.T.)!#760=FACE_OUTER_BOUND('',#1278,.T.)!#761=FACE_OUTER_BOUND('',#1279,.T.)!#762=FACE_OUTER_BOUND('',#1280,.T.)!#763=FACE_OUTER_BOUND('',#1281,.T.)!#764=FACE_OUTER_BOUND('',#1282,.T.)!#765=FACE_OUTER_BOUND('',#1283,.T.)!#766=FACE_OUTER_BOUND('',#1284,.T.)!#767=FACE_OUTER_BOUND('',#1285,.T.)!#768=FACE_OUTER_BOUND('',#1286,.T.)!#769=FACE_OUTER_BOUND('',#1287,.T.)!#770=FACE_OUTER_BOUND('',#1288,.T.)!#771=FACE_OUTER_BOUND('',#1289,.T.)!#772=FACE_OUTER_BOUND('',#1290,.T.)!#773=FACE_OUTER_BOUND('',#1291,.T.)!#774=FACE_OUTER_BOUND('',#1292,.T.)!#775=FACE_OUTER_BOUND('',#1293,.T.)!#776=FACE_OUTER_BOUND('',#1294,.T.)!#777=FACE_OUTER_BOUND('',#1295,.T.)!#778=FACE_OUTER_BOUND('',#1296,.T.)!#779=FACE_OUTER_BOUND('',#1297,.T.)!#780=FACE_OUTER_BOUND('',#1298,.T.)!#781=FACE_OUTER_BOUND('',#1299,.T.)!#782=FACE_OUTER_BOUND('',#1300,.T.)!#783=FACE_OUTER_BOUND('',#1301,.T.)!#784=FACE_OUTER_BOUND('',#1302,.T.)!#785=FACE_OUTER_BOUND('',#1303,.T.)!#786=FACE_OUTER_BOUND('',#1304,.T.)!#787=FACE_OUTER_BOUND('',#1305,.T.)!#788=FACE_OUTER_BOUND('',#1306,.T.)!#789=FACE_OUTER_BOUND('',#1307,.T.)!#790=FACE_OUTER_BOUND('',#1308,.T.)!#791=FACE_OUTER_BOUND('',#1309,.T.)!#792=FACE_OUTER_BOUND('',#1310,.T.)!#793=FACE_OUTER_BOUND('',#1311,.T.)!#794=FACE_OUTER_BOUND('',#1312,.T.)!#795=FACE_OUTER_BOUND('',#1313,.T.)!#796=FACE_OUTER_BOUND('',#1314,.T.)!#797=FACE_OUTER_BOUND('',#1315,.T.)!#798=FACE_OUTER_BOUND('',#1316,.T.)!#799=FACE_OUTER_BOUND('',#1317,.T.)!#800=FACE_OUTER_BOUND('',#1318,.T.)!#801=FACE_OUTER_BOUND('',#1319,.T.)!#802=FACE_OUTER_BOUND('',#1320,.T.)!#803=FACE_OUTER_BOUND('',#1321,.T.)!#804=FACE_OUTER_BOUND('',#1322,.T.)!#805=FACE_OUTER_BOUND('',#1323,.T.)!#806=FACE_OUTER_BOUND('',#1324,.T.)!#807=FACE_OUTER_BOUND('',#1325,.T.)!#808=FACE_OUTER_BOUND('',#1326,.T.)!#809=FACE_OUTER_BOUND('',#1327,.T.)!#810=FACE_OUTER_BOUND('',#1328,.T.)!#811=FACE_OUTER_BOUND('',#1329,.T.)!#812=FACE_OUTER_BOUND('',#1330,.T.)!#813=FACE_OUTER_BOUND('',#1331,.T.)!#814=FACE_OUTER_BOUND('',#1332,.T.)!#815=FACE_OUTER_BOUND('',#1333,.T.)!#816=FACE_OUTER_BOUND('',#1334,.T.)!#817=FACE_OUTER_BOUND('',#1335,.T.)!#818=FACE_OUTER_BOUND('',#1336,.T.)!#819=FACE_OUTER_BOUND('',#1337,.T.)!#820=FACE_OUTER_BOUND('',#1338,.T.)!#821=FACE_OUTER_BOUND('',#1339,.T.)!#822=FACE_OUTER_BOUND('',#1340,.T.)!#823=FACE_OUTER_BOUND('',#1341,.T.)!#824=FACE_OUTER_BOUND('',#1342,.T.)!#825=FACE_OUTER_BOUND('',#1343,.T.)!#826=FACE_OUTER_BOUND('',#1344,.T.)!#827=FACE_OUTER_BOUND('',#1345,.T.)!#828=FACE_OUTER_BOUND('',#1346,.T.)!#829=FACE_OUTER_BOUND('',#1347,.T.)!#830=FACE_OUTER_BOUND('',#1348,.T.)!#831=FACE_OUTER_BOUND('',#1349,.T.)!#832=FACE_OUTER_BOUND('',#1350,.T.)!#833=FACE_OUTER_BOUND('',#1351,.T.)!#834=FACE_OUTER_BOUND('',#1352,.T.)!#835=FACE_OUTER_BOUND('',#1353,.T.)!#836=FACE_OUTER_BOUND('',#1354,.T.)!#837=FACE_OUTER_BOUND('',#1355,.T.)!#838=FACE_OUTER_BOUND('',#1356,.T.)!#839=FACE_OUTER_BOUND('',#1357,.T.)!#840=FACE_OUTER_BOUND('',#1358,.T.)!#841=FACE_OUTER_BOUND('',#1359,.T.)!#842=FACE_OUTER_BOUND('',#1360,.T.)!#843=FACE_OUTER_BOUND('',#1361,.T.)!#844=FACE_OUTER_BOUND('',#1362,.T.)!#845=FACE_OUTER_BOUND('',#1363,.T.)!#846=FACE_OUTER_BOUND('',#1364,.T.)!#847=FACE_OUTER_BOUND('',#1365,.T.)!#848=FACE_OUTER_BOUND('',#1366,.T.)!#849=FACE_OUTER_BOUND('',#1367,.T.)!#850=FACE_OUTER_BOUND('',#1368,.T.)!#851=FACE_OUTER_BOUND('',#1369,.T.)!#852=FACE_OUTER_BOUND('',#1370,.T.)!#853=FACE_OUTER_BOUND('',#1371,.T.)!#854=FACE_OUTER_BOUND('',#1372,.T.)!#855=FACE_OUTER_BOUND('',#1375,.T.)!#856=FACE_OUTER_BOUND('',#1376,.T.)!#857=FACE_OUTER_BOUND('',#1377,.T.)!#858=FACE_OUTER_BOUND('',#1378,.T.)!#859=FACE_OUTER_BOUND('',#1379,.T.)!#860=FACE_OUTER_BOUND('',#1380,.T.)!#861=FACE_OUTER_BOUND('',#1381,.T.)!#862=FACE_OUTER_BOUND('',#1382,.T.)!#863=FACE_OUTER_BOUND('',#1383,.T.)!#864=FACE_OUTER_BOUND('',#1384,.T.)!#865=FACE_OUTER_BOUND('',#1385,.T.)!#866=FACE_OUTER_BOUND('',#1386,.T.)!#867=FACE_OUTER_BOUND('',#1387,.T.)!#868=FACE_OUTER_BOUND('',#1389,.T.)!#869=FACE_OUTER_BOUND('',#1391,.T.)!#870=FACE_OUTER_BOUND('',#1393,.T.)!#871=FACE_OUTER_BOUND('',#1395,.T.)!#872=FACE_OUTER_BOUND('',#1396,.T.)!#873=FACE_OUTER_BOUND('',#1397,.T.)!#874=FACE_OUTER_BOUND('',#1398,.T.)!#875=FACE_OUTER_BOUND('',#1399,.T.)!#876=FACE_OUTER_BOUND('',#1400,.T.)!#877=FACE_OUTER_BOUND('',#1405,.T.)!#878=FACE_OUTER_BOUND('',#1406,.T.)!#879=FACE_OUTER_BOUND('',#1407,.T.)!#880=FACE_OUTER_BOUND('',#1408,.T.)!#881=FACE_OUTER_BOUND('',#1409,.T.)!#882=FACE_OUTER_BOUND('',#1410,.T.)!#883=FACE_OUTER_BOUND('',#1411,.T.)!#884=FACE_OUTER_BOUND('',#1412,.T.)!#885=FACE_OUTER_BOUND('',#1413,.T.)!#886=FACE_OUTER_BOUND('',#1414,.T.)!#887=FACE_OUTER_BOUND('',#1415,.T.)!#888=FACE_OUTER_BOUND('',#1416,.T.)!#889=FACE_OUTER_BOUND('',#1419,.T.)!#890=FACE_OUTER_BOUND('',#1420,.T.)!#891=FACE_OUTER_BOUND('',#1421,.T.)!#892=FACE_OUTER_BOUND('',#1422,.T.)!#893=FACE_OUTER_BOUND('',#1423,.T.)!#894=FACE_OUTER_BOUND('',#1424,.T.)!#895=FACE_OUTER_BOUND('',#1425,.T.)!#896=FACE_OUTER_BOUND('',#1426,.T.)!#897=FACE_OUTER_BOUND('',#1427,.T.)!#898=FACE_OUTER_BOUND('',#1428,.T.)!#899=FACE_OUTER_BOUND('',#1429,.T.)!#900=FACE_OUTER_BOUND('',#1430,.T.)!#901=FACE_OUTER_BOUND('',#1431,.T.)!#902=FACE_OUTER_BOUND('',#1433,.T.)!#903=FACE_OUTER_BOUND('',#1435,.T.)!#904=FACE_OUTER_BOUND('',#1437,.T.)!#905=FACE_OUTER_BOUND('',#1438,.T.)!#906=FACE_OUTER_BOUND('',#1439,.T.)!#907=FACE_OUTER_BOUND('',#1444,.T.)!#908=FACE_OUTER_BOUND('',#1445,.T.)!#909=FACE_OUTER_BOUND('',#1446,.T.)!#910=FACE_OUTER_BOUND('',#1447,.T.)!#911=FACE_OUTER_BOUND('',#1449,.T.)!#912=FACE_OUTER_BOUND('',#1451,.T.)!#913=FACE_OUTER_BOUND('',#1452,.T.)!#914=FACE_OUTER_BOUND('',#1453,.T.)!#915=FACE_OUTER_BOUND('',#1454,.T.)!#916=FACE_OUTER_BOUND('',#1455,.T.)!#917=FACE_OUTER_BOUND('',#1456,.T.)!#918=FACE_OUTER_BOUND('',#1457,.T.)!#919=FACE_OUTER_BOUND('',#1459,.T.)!#920=FACE_OUTER_BOUND('',#1460,.T.)!#921=FACE_OUTER_BOUND('',#1462,.T.)!#922=FACE_OUTER_BOUND('',#1463,.T.)!#923=FACE_OUTER_BOUND('',#1464,.T.)!#924=FACE_OUTER_BOUND('',#1465,.T.)!#925=FACE_OUTER_BOUND('',#1466,.T.)!#926=FACE_OUTER_BOUND('',#1468,.T.)!#927=FACE_OUTER_BOUND('',#1469,.T.)!#928=FACE_OUTER_BOUND('',#1470,.T.)!#929=FACE_OUTER_BOUND('',#1471,.T.)!#930=FACE_OUTER_BOUND('',#1472,.T.)!#931=FACE_OUTER_BOUND('',#1473,.T.)!#932=FACE_OUTER_BOUND('',#1474,.T.)!#933=FACE_OUTER_BOUND('',#1476,.T.)!#934=FACE_OUTER_BOUND('',#1477,.T.)!#935=FACE_OUTER_BOUND('',#1479,.T.)!#936=FACE_OUTER_BOUND('',#1480,.T.)!#937=FACE_OUTER_BOUND('',#1481,.T.)!#938=FACE_OUTER_BOUND('',#1482,.T.)!#939=FACE_OUTER_BOUND('',#1483,.T.)!#940=FACE_OUTER_BOUND('',#1484,.T.)!#941=FACE_OUTER_BOUND('',#1485,.T.)!#942=FACE_OUTER_BOUND('',#1486,.T.)!#943=FACE_OUTER_BOUND('',#1487,.T.)!#944=FACE_OUTER_BOUND('',#1488,.T.)!#945=FACE_OUTER_BOUND('',#1489,.T.)!#946=FACE_OUTER_BOUND('',#1490,.T.)!#947=FACE_OUTER_BOUND('',#1491,.T.)!#948=FACE_OUTER_BOUND('',#1492,.T.)!#949=FACE_OUTER_BOUND('',#1493,.T.)!#950=FACE_OUTER_BOUND('',#1494,.T.)!#951=FACE_OUTER_BOUND('',#1495,.T.)!#952=FACE_OUTER_BOUND('',#1496,.T.)!#953=FACE_OUTER_BOUND('',#1497,.T.)!#954=FACE_OUTER_BOUND('',#1499,.T.)!#955=FACE_OUTER_BOUND('',#1500,.T.)!#956=FACE_OUTER_BOUND('',#1501,.T.)!#957=FACE_OUTER_BOUND('',#1502,.T.)!#958=FACE_OUTER_BOUND('',#1503,.T.)!#959=FACE_OUTER_BOUND('',#1504,.T.)!#960=FACE_OUTER_BOUND('',#1505,.T.)!#961=FACE_OUTER_BOUND('',#1506,.T.)!#962=FACE_OUTER_BOUND('',#1507,.T.)!#963=FACE_OUTER_BOUND('',#1508,.T.)!#964=FACE_OUTER_BOUND('',#1509,.T.)!#965=FACE_OUTER_BOUND('',#1510,.T.)!#966=FACE_OUTER_BOUND('',#1511,.T.)!#967=FACE_OUTER_BOUND('',#1512,.T.)!#968=FACE_OUTER_BOUND('',#1513,.T.)!#969=FACE_OUTER_BOUND('',#1514,.T.)!#970=FACE_OUTER_BOUND('',#1515,.T.)!#971=FACE_OUTER_BOUND('',#1516,.T.)!#972=FACE_OUTER_BOUND('',#1517,.T.)!#973=FACE_OUTER_BOUND('',#1518,.T.)!#974=FACE_OUTER_BOUND('',#1519,.T.)!#975=FACE_OUTER_BOUND('',#1520,.T.)!#976=FACE_OUTER_BOUND('',#1521,.T.)!#977=FACE_OUTER_BOUND('',#1522,.T.)!#978=FACE_OUTER_BOUND('',#1523,.T.)!#979=FACE_OUTER_BOUND('',#1524,.T.)!#980=FACE_OUTER_BOUND('',#1525,.T.)!#981=FACE_OUTER_BOUND('',#1526,.T.)!#982=FACE_OUTER_BOUND('',#1527,.T.)!#983=FACE_OUTER_BOUND('',#1528,.T.)!#984=FACE_OUTER_BOUND('',#1529,.T.)!#985=FACE_OUTER_BOUND('',#1530,.T.)!#986=FACE_OUTER_BOUND('',#1531,.T.)!#987=FACE_OUTER_BOUND('',#1532,.T.)!#988=FACE_OUTER_BOUND('',#1533,.T.)!#989=FACE_OUTER_BOUND('',#1534,.T.)!#990=FACE_OUTER_BOUND('',#1536,.T.)!#991=FACE_OUTER_BOUND('',#1537,.T.)!#992=FACE_OUTER_BOUND('',#1538,.T.)!#993=FACE_OUTER_BOUND('',#1539,.T.)!#994=FACE_OUTER_BOUND('',#1540,.T.)!#995=FACE_OUTER_BOUND('',#1541,.T.)!#996=FACE_OUTER_BOUND('',#1542,.T.)!#997=FACE_OUTER_BOUND('',#1544,.T.)!#998=FACE_OUTER_BOUND('',#1545,.T.)!#999=FACE_OUTER_BOUND('',#1546,.T.)!#1000=FACE_OUTER_BOUND('',#1547,.T.)!#1001=FACE_OUTER_BOUND('',#1548,.T.)!#1002=FACE_OUTER_BOUND('',#1549,.T.)!#1003=FACE_OUTER_BOUND('',#1550,.T.)!#1004=FACE_OUTER_BOUND('',#1551,.T.)!#1005=FACE_OUTER_BOUND('',#1552,.T.)!#1006=FACE_OUTER_BOUND('',#1553,.T.)!#1007=FACE_OUTER_BOUND('',#1554,.T.)!#1008=FACE_OUTER_BOUND('',#1555,.T.)!#1009=FACE_OUTER_BOUND('',#1556,.T.)!#1010=FACE_OUTER_BOUND('',#1557,.T.)!#1011=FACE_OUTER_BOUND('',#1558,.T.)!#1012=FACE_OUTER_BOUND('',#1559,.T.)!#1013=FACE_OUTER_BOUND('',#1560,.T.)!#1014=FACE_OUTER_BOUND('',#1561,.T.)!#1015=FACE_OUTER_BOUND('',#1562,.T.)!#1016=FACE_OUTER_BOUND('',#1563,.T.)!#1017=FACE_OUTER_BOUND('',#1564,.T.)!#1018=FACE_OUTER_BOUND('',#1565,.T.)!#1019=FACE_OUTER_BOUND('',#1566,.T.)!#1020=FACE_OUTER_BOUND('',#1567,.T.)!#1021=FACE_OUTER_BOUND('',#1568,.T.)!#1022=FACE_OUTER_BOUND('',#1569,.T.)!#1023=FACE_OUTER_BOUND('',#1570,.T.)!#1024=FACE_OUTER_BOUND('',#1571,.T.)!#1025=FACE_OUTER_BOUND('',#1572,.T.)!#1026=FACE_OUTER_BOUND('',#1573,.T.)!#1027=FACE_OUTER_BOUND('',#1574,.T.)!#1028=FACE_OUTER_BOUND('',#1575,.T.)!#1029=FACE_OUTER_BOUND('',#1576,.T.)!#1030=FACE_OUTER_BOUND('',#1577,.T.)!#1031=FACE_OUTER_BOUND('',#1578,.T.)!#1032=FACE_OUTER_BOUND('',#1579,.T.)!#1033=FACE_OUTER_BOUND('',#1580,.T.)!#1034=FACE_OUTER_BOUND('',#1581,.T.)!#1035=FACE_OUTER_BOUND('',#1582,.T.)!#1036=FACE_OUTER_BOUND('',#1583,.T.)!#1037=FACE_OUTER_BOUND('',#1584,.T.)!#1038=FACE_OUTER_BOUND('',#1585,.T.)!#1039=FACE_OUTER_BOUND('',#1586,.T.)!#1040=FACE_OUTER_BOUND('',#1588,.T.)!#1041=FACE_OUTER_BOUND('',#1589,.T.)!#1042=FACE_OUTER_BOUND('',#1590,.T.)!#1043=FACE_OUTER_BOUND('',#1591,.T.)!#1044=FACE_OUTER_BOUND('',#1592,.T.)!#1045=FACE_OUTER_BOUND('',#1593,.T.)!#1046=FACE_OUTER_BOUND('',#1595,.T.)!#1047=FACE_OUTER_BOUND('',#1596,.T.)!#1048=FACE_OUTER_BOUND('',#1597,.T.)!#1049=FACE_OUTER_BOUND('',#1598,.T.)!#1050=FACE_OUTER_BOUND('',#1600,.T.)!#1051=FACE_OUTER_BOUND('',#1601,.T.)!#1052=FACE_OUTER_BOUND('',#1602,.T.)!#1053=FACE_OUTER_BOUND('',#1603,.T.)!#1054=FACE_OUTER_BOUND('',#1604,.T.)!#1055=FACE_OUTER_BOUND('',#1605,.T.)!#1056=FACE_OUTER_BOUND('',#1606,.T.)!#1057=FACE_OUTER_BOUND('',#1607,.T.)!#1058=FACE_OUTER_BOUND('',#1608,.T.)!#1059=FACE_OUTER_BOUND('',#1609,.T.)!#1060=FACE_OUTER_BOUND('',#1610,.T.)!#1061=FACE_OUTER_BOUND('',#1611,.T.)!#1062=FACE_OUTER_BOUND('',#1612,.T.)!#1063=FACE_OUTER_BOUND('',#1613,.T.)!#1064=FACE_OUTER_BOUND('',#1614,.T.)!#1065=FACE_OUTER_BOUND('',#1615,.T.)!#1066=FACE_OUTER_BOUND('',#1616,.T.)!#1067=FACE_OUTER_BOUND('',#1617,.T.)!#1068=FACE_OUTER_BOUND('',#1618,.T.)!#1069=FACE_OUTER_BOUND('',#1619,.T.)!#1070=FACE_OUTER_BOUND('',#1620,.T.)!#1071=FACE_OUTER_BOUND('',#1621,.T.)!#1072=FACE_OUTER_BOUND('',#1622,.T.)!#1073=FACE_OUTER_BOUND('',#1623,.T.)!#1074=FACE_OUTER_BOUND('',#1624,.T.)!#1075=FACE_OUTER_BOUND('',#1625,.T.)!#1076=FACE_OUTER_BOUND('',#1626,.T.)!#1077=FACE_OUTER_BOUND('',#1627,.T.)!#1078=FACE_OUTER_BOUND('',#1629,.T.)!#1079=FACE_OUTER_BOUND('',#1630,.T.)!#1080=FACE_OUTER_BOUND('',#1631,.T.)!#1081=FACE_OUTER_BOUND('',#1632,.T.)!#1082=FACE_OUTER_BOUND('',#1633,.T.)!#1083=FACE_OUTER_BOUND('',#1634,.T.)!#1084=FACE_OUTER_BOUND('',#1635,.T.)!#1085=FACE_OUTER_BOUND('',#1637,.T.)!#1086=FACE_OUTER_BOUND('',#1638,.T.)!#1087=FACE_OUTER_BOUND('',#1639,.T.)!#1088=FACE_OUTER_BOUND('',#1640,.T.)!#1089=FACE_OUTER_BOUND('',#1641,.T.)!#1090=FACE_OUTER_BOUND('',#1642,.T.)!#1091=FACE_OUTER_BOUND('',#1643,.T.)!#1092=FACE_OUTER_BOUND('',#1644,.T.)!#1093=FACE_OUTER_BOUND('',#1645,.T.)!#1094=FACE_OUTER_BOUND('',#1646,.T.)!#1095=FACE_OUTER_BOUND('',#1647,.T.)!#1096=FACE_OUTER_BOUND('',#1648,.T.)!#1097=FACE_OUTER_BOUND('',#1649,.T.)!#1098=FACE_OUTER_BOUND('',#1650,.T.)!#1099=FACE_OUTER_BOUND('',#1651,.T.)!#1100=FACE_OUTER_BOUND('',#1652,.T.)!#1101=FACE_OUTER_BOUND('',#1653,.T.)!#1102=FACE_OUTER_BOUND('',#1654,.T.)!#1103=FACE_OUTER_BOUND('',#1655,.T.)!#1104=FACE_OUTER_BOUND('',#1656,.T.)!#1105=FACE_OUTER_BOUND('',#1657,.T.)!#1106=FACE_OUTER_BOUND('',#1658,.T.)!#1107=FACE_OUTER_BOUND('',#1659,.T.)!#1108=FACE_OUTER_BOUND('',#1660,.T.)!#1109=FACE_OUTER_BOUND('',#1661,.T.)!#1110=FACE_OUTER_BOUND('',#1662,.T.)!#1111=FACE_OUTER_BOUND('',#1663,.T.)!#1112=FACE_OUTER_BOUND('',#1664,.T.)!#1113=FACE_OUTER_BOUND('',#1665,.T.)!#1114=FACE_OUTER_BOUND('',#1666,.T.)!#1115=FACE_OUTER_BOUND('',#1667,.T.)!#1116=FACE_OUTER_BOUND('',#1668,.T.)!#1117=FACE_OUTER_BOUND('',#1669,.T.)!#1118=FACE_OUTER_BOUND('',#1671,.T.)!#1119=FACE_OUTER_BOUND('',#1672,.T.)!#1120=FACE_OUTER_BOUND('',#1673,.T.)!#1121=FACE_OUTER_BOUND('',#1674,.T.)!#1122=FACE_OUTER_BOUND('',#1675,.T.)!#1123=FACE_OUTER_BOUND('',#1676,.T.)!#1124=FACE_OUTER_BOUND('',#1677,.T.)!#1125=FACE_OUTER_BOUND('',#1678,.T.)!#1126=FACE_OUTER_BOUND('',#1679,.T.)!#1127=FACE_OUTER_BOUND('',#1680,.T.)!#1128=FACE_OUTER_BOUND('',#1681,.T.)!#1129=FACE_OUTER_BOUND('',#1682,.T.)!#1130=FACE_OUTER_BOUND('',#1683,.T.)!#1131=FACE_OUTER_BOUND('',#1684,.T.)!#1132=FACE_OUTER_BOUND('',#1685,.T.)!#1133=FACE_OUTER_BOUND('',#1687,.T.)!#1134=FACE_OUTER_BOUND('',#1688,.T.)!#1135=FACE_OUTER_BOUND('',#1690,.T.)!#1136=FACE_OUTER_BOUND('',#1693,.T.)!#1137=FACE_OUTER_BOUND('',#1695,.T.)!#1138=FACE_OUTER_BOUND('',#1696,.T.)!#1139=FACE_OUTER_BOUND('',#1697,.T.)!#1140=FACE_OUTER_BOUND('',#1698,.T.)!#1141=FACE_OUTER_BOUND('',#1699,.T.)!#1142=FACE_OUTER_BOUND('',#1700,.T.)!#1143=FACE_OUTER_BOUND('',#1701,.T.)!#1144=FACE_OUTER_BOUND('',#1702,.T.)!#1145=FACE_OUTER_BOUND('',#1705,.T.)!#1146=FACE_OUTER_BOUND('',#1706,.T.)!#1147=FACE_OUTER_BOUND('',#1707,.T.)!#1148=FACE_OUTER_BOUND('',#1708,.T.)!#1149=FACE_OUTER_BOUND('',#1709,.T.)!#1150=FACE_OUTER_BOUND('',#1710,.T.)!#1151=FACE_OUTER_BOUND('',#1711,.T.)!#1152=FACE_OUTER_BOUND('',#1712,.T.)!#1153=FACE_OUTER_BOUND('',#1713,.T.)!#1154=FACE_OUTER_BOUND('',#1714,.T.)!#1155=FACE_OUTER_BOUND('',#1715,.T.)!#1156=FACE_OUTER_BOUND('',#1716,.T.)!#1157=FACE_OUTER_BOUND('',#1717,.T.)!#1158=FACE_OUTER_BOUND('',#1718,.T.)!#1159=FACE_OUTER_BOUND('',#1719,.T.)!#1160=FACE_OUTER_BOUND('',#1720,.T.)!#1161=FACE_OUTER_BOUND('',#1721,.T.)!#1162=FACE_OUTER_BOUND('',#1723,.T.)!#1163=FACE_OUTER_BOUND('',#1724,.T.)!#1164=FACE_OUTER_BOUND('',#1726,.T.)!#1165=FACE_OUTER_BOUND('',#1727,.T.)!#1166=FACE_OUTER_BOUND('',#1728,.T.)!#1167=FACE_OUTER_BOUND('',#1729,.T.)!#1168=FACE_OUTER_BOUND('',#1730,.T.)!#1169=FACE_OUTER_BOUND('',#1731,.T.)!#1170=FACE_OUTER_BOUND('',#1732,.T.)!#1171=FACE_OUTER_BOUND('',#1733,.T.)!#1172=FACE_OUTER_BOUND('',#1734,.T.)!#1173=FACE_OUTER_BOUND('',#1735,.T.)!#1174=FACE_OUTER_BOUND('',#1738,.T.)!#1175=FACE_OUTER_BOUND('',#1739,.T.)!#1176=FACE_OUTER_BOUND('',#1740,.T.)!#1177=FACE_OUTER_BOUND('',#1742,.T.)!#1178=FACE_OUTER_BOUND('',#1743,.T.)!#1179=FACE_OUTER_BOUND('',#1744,.T.)!#1180=FACE_OUTER_BOUND('',#1745,.T.)!#1181=FACE_OUTER_BOUND('',#1746,.T.)!#1182=FACE_OUTER_BOUND('',#1747,.T.)!#1183=FACE_OUTER_BOUND('',#1748,.T.)!#1184=FACE_OUTER_BOUND('',#1749,.T.)!#1185=FACE_OUTER_BOUND('',#1754,.T.)!#1186=FACE_OUTER_BOUND('',#1755,.T.)!#1187=FACE_OUTER_BOUND('',#1756,.T.)!#1188=FACE_OUTER_BOUND('',#1757,.T.)!#1189=FACE_OUTER_BOUND('',#1758,.T.)!#1190=FACE_OUTER_BOUND('',#1759,.T.)!#1191=FACE_OUTER_BOUND('',#1760,.T.)!#1192=FACE_OUTER_BOUND('',#1761,.T.)!#1193=FACE_OUTER_BOUND('',#1762,.T.)!#1194=FACE_OUTER_BOUND('',#1763,.T.)!#1195=FACE_OUTER_BOUND('',#1764,.T.)!#1196=FACE_OUTER_BOUND('',#1765,.T.)!#1197=FACE_OUTER_BOUND('',#1766,.T.)!#1198=FACE_OUTER_BOUND('',#1767,.T.)!#1199=FACE_OUTER_BOUND('',#1768,.T.)!#1200=FACE_OUTER_BOUND('',#1769,.T.)!#1201=FACE_OUTER_BOUND('',#1770,.T.)!#1202=FACE_OUTER_BOUND('',#1771,.T.)!#1203=FACE_OUTER_BOUND('',#1772,.T.)!#1204=FACE_OUTER_BOUND('',#1773,.T.)!#1205=FACE_OUTER_BOUND('',#1774,.T.)!#1206=FACE_OUTER_BOUND('',#1775,.T.)!#1207=FACE_OUTER_BOUND('',#1777,.T.)!#1208=FACE_OUTER_BOUND('',#1778,.T.)!#1209=FACE_OUTER_BOUND('',#1779,.T.)!#1210=FACE_OUTER_BOUND('',#1780,.T.)!#1211=FACE_OUTER_BOUND('',#1781,.T.)!#1212=FACE_OUTER_BOUND('',#1782,.T.)!#1213=FACE_OUTER_BOUND('',#1783,.T.)!#1214=FACE_OUTER_BOUND('',#1784,.T.)!#1215=FACE_OUTER_BOUND('',#1785,.T.)!#1216=FACE_OUTER_BOUND('',#1786,.T.)!#1217=FACE_OUTER_BOUND('',#1788,.T.)!#1218=FACE_OUTER_BOUND('',#1789,.T.)!#1219=FACE_OUTER_BOUND('',#1790,.T.)!#1220=FACE_OUTER_BOUND('',#1791,.T.)!#1221=FACE_OUTER_BOUND('',#1792,.T.)!#1222=FACE_OUTER_BOUND('',#1794,.T.)!#1223=FACE_OUTER_BOUND('',#1795,.T.)!#1224=FACE_OUTER_BOUND('',#1796,.T.)!#1225=FACE_OUTER_BOUND('',#1797,.T.)!#1226=FACE_OUTER_BOUND('',#1799,.T.)!#1227=FACE_OUTER_BOUND('',#1800,.T.)!#1228=FACE_OUTER_BOUND('',#1801,.T.)!#1229=FACE_OUTER_BOUND('',#1802,.T.)!#1230=FACE_OUTER_BOUND('',#1803,.T.)!#1231=FACE_OUTER_BOUND('',#1804,.T.)!#1232=FACE_OUTER_BOUND('',#1805,.T.)!#1233=FACE_OUTER_BOUND('',#1806,.T.)!#1234=FACE_OUTER_BOUND('',#1807,.T.)!#1235=FACE_OUTER_BOUND('',#1808,.T.)!#1236=FACE_OUTER_BOUND('',#1809,.T.)!#1237=FACE_OUTER_BOUND('',#1810,.T.)!#1238=FACE_OUTER_BOUND('',#1811,.T.)!#1239=FACE_OUTER_BOUND('',#1812,.T.)!#1240=FACE_OUTER_BOUND('',#1813,.T.)!#1241=FACE_OUTER_BOUND('',#1814,.T.)!#1242=FACE_OUTER_BOUND('',#1815,.T.)!#1243=FACE_OUTER_BOUND('',#1816,.T.)!#1244=FACE_OUTER_BOUND('',#1817,.T.)!#1245=FACE_OUTER_BOUND('',#1818,.T.)!#1246=FACE_OUTER_BOUND('',#1819,.T.)!#1247=FACE_OUTER_BOUND('',#1820,.T.)!#1248=FACE_OUTER_BOUND('',#1821,.T.)!#1249=FACE_OUTER_BOUND('',#1822,.T.)!#1250=FACE_OUTER_BOUND('',#1823,.T.)!#1251=FACE_OUTER_BOUND('',#1824,.T.)!#1252=FACE_OUTER_BOUND('',#1825,.T.)!#1253=FACE_OUTER_BOUND('',#1826,.T.)!#1254=FACE_OUTER_BOUND('',#1827,.T.)!#1255=FACE_OUTER_BOUND('',#1828,.T.)!#1256=EDGE_LOOP('',(#5968,#5969,#5970,#5971))!#1257=EDGE_LOOP('',(#5972))!#1258=EDGE_LOOP('',(#5973,#5974,#5975))!#1259=EDGE_LOOP('',(#5976,#5977,#5978))!#1260=EDGE_LOOP('',(#5979,#5980,#5981))!#1261=EDGE_LOOP('',(#5982,#5983,#5984))!#1262=EDGE_LOOP('',(#5985,#5986,#5987))!#1263=EDGE_LOOP('',(#5988,#5989,#5990))!#1264=EDGE_LOOP('',(#5991,#5992,#5993,#5994,#5995))!#1265=EDGE_LOOP('',(#5996,#5997,#5998,#5999,#6000))!#1266=EDGE_LOOP('',(#6001,#6002,#6003,#6004,#6005))!#1267=EDGE_LOOP('',(#6006,#6007,#6008,#6009,#6010))!#1268=EDGE_LOOP('',(#6011,#6012,#6013,#6014,#6015))!#1269=EDGE_LOOP('',(#6016,#6017,#6018,#6019,#6020))!#1270=EDGE_LOOP('',(#6021,#6022,#6023,#6024,#6025,#6026))!#1271=EDGE_LOOP('',(#6027,#6028,#6029,#6030,#6031))!#1272=EDGE_LOOP('',(#6032))!#1273=EDGE_LOOP('',(#6033,#6034,#6035))!#1274=EDGE_LOOP('',(#6036,#6037,#6038))!#1275=EDGE_LOOP('',(#6039,#6040,#6041))!#1276=EDGE_LOOP('',(#6042,#6043,#6044))!#1277=EDGE_LOOP('',(#6045,#6046,#6047))!#1278=EDGE_LOOP('',(#6048,#6049,#6050))!#1279=EDGE_LOOP('',(#6051,#6052,#6053,#6054,#6055))!#1280=EDGE_LOOP('',(#6056,#6057,#6058,#6059,#6060))!#1281=EDGE_LOOP('',(#6061,#6062,#6063,#6064,#6065))!#1282=EDGE_LOOP('',(#6066,#6067,#6068,#6069,#6070))!#1283=EDGE_LOOP('',(#6071,#6072,#6073,#6074,#6075))!#1284=EDGE_LOOP('',(#6076,#6077,#6078,#6079,#6080))!#1285=EDGE_LOOP('',(#6081,#6082,#6083,#6084,#6085,#6086))!#1286=EDGE_LOOP('',(#6087,#6088,#6089,#6090))!#1287=EDGE_LOOP('',(#6091,#6092,#6093,#6094))!#1288=EDGE_LOOP('',(#6095,#6096,#6097,#6098))!#1289=EDGE_LOOP('',(#6099,#6100,#6101,#6102))!#1290=EDGE_LOOP('',(#6103,#6104,#6105,#6106))!#1291=EDGE_LOOP('',(#6107,#6108,#6109,#6110))!#1292=EDGE_LOOP('',(#6111,#6112,#6113,#6114))!#1293=EDGE_LOOP('',(#6115,#6116,#6117,#6118))!#1294=EDGE_LOOP('',(#6119,#6120,#6121,#6122))!#1295=EDGE_LOOP('',(#6123,#6124,#6125,#6126))!#1296=EDGE_LOOP('',(#6127,#6128,#6129,#6130))!#1297=EDGE_LOOP('',(#6131,#6132,#6133,#6134))!#1298=EDGE_LOOP('',(#6135,#6136,#6137,#6138,#6139,#6140,#6141,#6142,#6143, #6144,#6145,#6146))!#1299=EDGE_LOOP('',(#6147,#6148,#6149,#6150))!#1300=EDGE_LOOP('',(#6151,#6152,#6153,#6154))!#1301=EDGE_LOOP('',(#6155,#6156,#6157,#6158))!#1302=EDGE_LOOP('',(#6159,#6160,#6161,#6162))!#1303=EDGE_LOOP('',(#6163,#6164,#6165,#6166))!#1304=EDGE_LOOP('',(#6167,#6168,#6169,#6170))!#1305=EDGE_LOOP('',(#6171,#6172,#6173,#6174))!#1306=EDGE_LOOP('',(#6175,#6176,#6177,#6178))!#1307=EDGE_LOOP('',(#6179,#6180,#6181,#6182))!#1308=EDGE_LOOP('',(#6183,#6184,#6185,#6186))!#1309=EDGE_LOOP('',(#6187,#6188,#6189,#6190))!#1310=EDGE_LOOP('',(#6191,#6192,#6193,#6194,#6195,#6196,#6197,#6198,#6199, #6200,#6201))!#1311=EDGE_LOOP('',(#6202,#6203))!#1312=EDGE_LOOP('',(#6204,#6205,#6206,#6207))!#1313=EDGE_LOOP('',(#6208,#6209,#6210,#6211))!#1314=EDGE_LOOP('',(#6212,#6213,#6214,#6215))!#1315=EDGE_LOOP('',(#6216,#6217,#6218,#6219))!#1316=EDGE_LOOP('',(#6220,#6221,#6222,#6223))!#1317=EDGE_LOOP('',(#6224,#6225,#6226,#6227))!#1318=EDGE_LOOP('',(#6228,#6229,#6230,#6231))!#1319=EDGE_LOOP('',(#6232,#6233,#6234,#6235))!#1320=EDGE_LOOP('',(#6236,#6237,#6238,#6239))!#1321=EDGE_LOOP('',(#6240,#6241,#6242,#6243))!#1322=EDGE_LOOP('',(#6244,#6245,#6246,#6247))!#1323=EDGE_LOOP('',(#6248,#6249,#6250,#6251))!#1324=EDGE_LOOP('',(#6252,#6253,#6254,#6255))!#1325=EDGE_LOOP('',(#6256,#6257,#6258,#6259))!#1326=EDGE_LOOP('',(#6260,#6261,#6262,#6263))!#1327=EDGE_LOOP('',(#6264,#6265,#6266,#6267))!#1328=EDGE_LOOP('',(#6268,#6269,#6270,#6271))!#1329=EDGE_LOOP('',(#6272,#6273,#6274,#6275))!#1330=EDGE_LOOP('',(#6276,#6277,#6278,#6279))!#1331=EDGE_LOOP('',(#6280,#6281,#6282,#6283))!#1332=EDGE_LOOP('',(#6284,#6285,#6286,#6287))!#1333=EDGE_LOOP('',(#6288,#6289,#6290,#6291,#6292,#6293,#6294,#6295,#6296, #6297,#6298,#6299,#6300,#6301,#6302,#6303,#6304,#6305,#6306))!#1334=EDGE_LOOP('',(#6307,#6308,#6309,#6310))!#1335=EDGE_LOOP('',(#6311,#6312,#6313,#6314))!#1336=EDGE_LOOP('',(#6315,#6316,#6317,#6318))!#1337=EDGE_LOOP('',(#6319,#6320,#6321,#6322))!#1338=EDGE_LOOP('',(#6323,#6324,#6325,#6326))!#1339=EDGE_LOOP('',(#6327,#6328,#6329,#6330))!#1340=EDGE_LOOP('',(#6331,#6332,#6333,#6334))!#1341=EDGE_LOOP('',(#6335,#6336,#6337,#6338))!#1342=EDGE_LOOP('',(#6339,#6340,#6341,#6342))!#1343=EDGE_LOOP('',(#6343,#6344,#6345,#6346))!#1344=EDGE_LOOP('',(#6347,#6348,#6349,#6350))!#1345=EDGE_LOOP('',(#6351,#6352,#6353,#6354))!#1346=EDGE_LOOP('',(#6355,#6356,#6357,#6358))!#1347=EDGE_LOOP('',(#6359,#6360,#6361,#6362))!#1348=EDGE_LOOP('',(#6363,#6364,#6365,#6366))!#1349=EDGE_LOOP('',(#6367,#6368,#6369,#6370))!#1350=EDGE_LOOP('',(#6371,#6372,#6373,#6374))!#1351=EDGE_LOOP('',(#6375,#6376,#6377,#6378))!#1352=EDGE_LOOP('',(#6379,#6380,#6381,#6382))!#1353=EDGE_LOOP('',(#6383,#6384,#6385,#6386))!#1354=EDGE_LOOP('',(#6387,#6388,#6389,#6390))!#1355=EDGE_LOOP('',(#6391,#6392,#6393,#6394))!#1356=EDGE_LOOP('',(#6395,#6396,#6397,#6398))!#1357=EDGE_LOOP('',(#6399,#6400,#6401,#6402))!#1358=EDGE_LOOP('',(#6403,#6404,#6405,#6406))!#1359=EDGE_LOOP('',(#6407,#6408,#6409,#6410))!#1360=EDGE_LOOP('',(#6411,#6412,#6413,#6414))!#1361=EDGE_LOOP('',(#6415,#6416,#6417,#6418,#6419,#6420,#6421,#6422,#6423, #6424,#6425,#6426,#6427,#6428,#6429,#6430,#6431,#6432,#6433,#6434,#6435, #6436,#6437,#6438,#6439,#6440,#6441))!#1362=EDGE_LOOP('',(#6442,#6443,#6444,#6445,#6446,#6447))!#1363=EDGE_LOOP('',(#6448,#6449,#6450))!#1364=EDGE_LOOP('',(#6451,#6452,#6453))!#1365=EDGE_LOOP('',(#6454,#6455,#6456))!#1366=EDGE_LOOP('',(#6457,#6458,#6459))!#1367=EDGE_LOOP('',(#6460,#6461,#6462,#6463,#6464))!#1368=EDGE_LOOP('',(#6465,#6466,#6467,#6468,#6469))!#1369=EDGE_LOOP('',(#6470,#6471,#6472,#6473,#6474))!#1370=EDGE_LOOP('',(#6475,#6476,#6477,#6478,#6479))!#1371=EDGE_LOOP('',(#6480,#6481,#6482,#6483))!#1372=EDGE_LOOP('',(#6484,#6485,#6486,#6487))!#1373=EDGE_LOOP('',(#6488,#6489,#6490,#6491))!#1374=EDGE_LOOP('',(#6492,#6493,#6494,#6495))!#1375=EDGE_LOOP('',(#6496,#6497,#6498,#6499,#6500,#6501))!#1376=EDGE_LOOP('',(#6502,#6503,#6504))!#1377=EDGE_LOOP('',(#6505,#6506,#6507))!#1378=EDGE_LOOP('',(#6508,#6509,#6510))!#1379=EDGE_LOOP('',(#6511,#6512,#6513))!#1380=EDGE_LOOP('',(#6514,#6515,#6516,#6517,#6518))!#1381=EDGE_LOOP('',(#6519,#6520,#6521,#6522,#6523))!#1382=EDGE_LOOP('',(#6524,#6525,#6526,#6527,#6528))!#1383=EDGE_LOOP('',(#6529,#6530,#6531,#6532,#6533))!#1384=EDGE_LOOP('',(#6534,#6535,#6536,#6537))!#1385=EDGE_LOOP('',(#6538,#6539,#6540,#6541))!#1386=EDGE_LOOP('',(#6542,#6543,#6544,#6545,#6546,#6547))!#1387=EDGE_LOOP('',(#6548,#6549,#6550,#6551,#6552,#6553))!#1388=EDGE_LOOP('',(#6554,#6555))!#1389=EDGE_LOOP('',(#6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564, #6565))!#1390=EDGE_LOOP('',(#6566))!#1391=EDGE_LOOP('',(#6567,#6568,#6569,#6570))!#1392=EDGE_LOOP('',(#6571,#6572))!#1393=EDGE_LOOP('',(#6573,#6574,#6575,#6576))!#1394=EDGE_LOOP('',(#6577,#6578))!#1395=EDGE_LOOP('',(#6579,#6580,#6581,#6582,#6583))!#1396=EDGE_LOOP('',(#6584,#6585,#6586,#6587,#6588))!#1397=EDGE_LOOP('',(#6589,#6590,#6591,#6592))!#1398=EDGE_LOOP('',(#6593,#6594,#6595,#6596,#6597,#6598))!#1399=EDGE_LOOP('',(#6599,#6600,#6601,#6602))!#1400=EDGE_LOOP('',(#6603,#6604,#6605,#6606))!#1401=EDGE_LOOP('',(#6607,#6608))!#1402=EDGE_LOOP('',(#6609,#6610))!#1403=EDGE_LOOP('',(#6611))!#1404=EDGE_LOOP('',(#6612))!#1405=EDGE_LOOP('',(#6613,#6614,#6615,#6616))!#1406=EDGE_LOOP('',(#6617,#6618,#6619,#6620,#6621,#6622))!#1407=EDGE_LOOP('',(#6623,#6624,#6625))!#1408=EDGE_LOOP('',(#6626,#6627,#6628))!#1409=EDGE_LOOP('',(#6629,#6630,#6631))!#1410=EDGE_LOOP('',(#6632,#6633,#6634))!#1411=EDGE_LOOP('',(#6635,#6636,#6637,#6638,#6639))!#1412=EDGE_LOOP('',(#6640,#6641,#6642,#6643,#6644))!#1413=EDGE_LOOP('',(#6645,#6646,#6647,#6648,#6649))!#1414=EDGE_LOOP('',(#6650,#6651,#6652,#6653,#6654))!#1415=EDGE_LOOP('',(#6655,#6656,#6657,#6658))!#1416=EDGE_LOOP('',(#6659,#6660,#6661,#6662))!#1417=EDGE_LOOP('',(#6663,#6664,#6665,#6666))!#1418=EDGE_LOOP('',(#6667,#6668,#6669,#6670))!#1419=EDGE_LOOP('',(#6671,#6672,#6673,#6674,#6675,#6676))!#1420=EDGE_LOOP('',(#6677,#6678,#6679))!#1421=EDGE_LOOP('',(#6680,#6681,#6682))!#1422=EDGE_LOOP('',(#6683,#6684,#6685))!#1423=EDGE_LOOP('',(#6686,#6687,#6688))!#1424=EDGE_LOOP('',(#6689,#6690,#6691,#6692,#6693))!#1425=EDGE_LOOP('',(#6694,#6695,#6696,#6697,#6698))!#1426=EDGE_LOOP('',(#6699,#6700,#6701,#6702,#6703))!#1427=EDGE_LOOP('',(#6704,#6705,#6706,#6707,#6708))!#1428=EDGE_LOOP('',(#6709,#6710,#6711,#6712))!#1429=EDGE_LOOP('',(#6713,#6714,#6715,#6716))!#1430=EDGE_LOOP('',(#6717,#6718,#6719,#6720,#6721,#6722))!#1431=EDGE_LOOP('',(#6723,#6724,#6725,#6726,#6727,#6728))!#1432=EDGE_LOOP('',(#6729,#6730))!#1433=EDGE_LOOP('',(#6731,#6732,#6733,#6734))!#1434=EDGE_LOOP('',(#6735,#6736))!#1435=EDGE_LOOP('',(#6737,#6738,#6739,#6740))!#1436=EDGE_LOOP('',(#6741,#6742))!#1437=EDGE_LOOP('',(#6743,#6744,#6745,#6746,#6747))!#1438=EDGE_LOOP('',(#6748,#6749,#6750,#6751,#6752))!#1439=EDGE_LOOP('',(#6753,#6754,#6755,#6756))!#1440=EDGE_LOOP('',(#6757))!#1441=EDGE_LOOP('',(#6758))!#1442=EDGE_LOOP('',(#6759))!#1443=EDGE_LOOP('',(#6760))!#1444=EDGE_LOOP('',(#6761,#6762,#6763,#6764))!#1445=EDGE_LOOP('',(#6765,#6766,#6767,#6768,#6769,#6770))!#1446=EDGE_LOOP('',(#6771,#6772,#6773,#6774))!#1447=EDGE_LOOP('',(#6775,#6776,#6777,#6778,#6779,#6780,#6781,#6782,#6783, #6784))!#1448=EDGE_LOOP('',(#6785))!#1449=EDGE_LOOP('',(#6786))!#1450=EDGE_LOOP('',(#6787,#6788))!#1451=EDGE_LOOP('',(#6789,#6790))!#1452=EDGE_LOOP('',(#6791,#6792,#6793,#6794,#6795,#6796))!#1453=EDGE_LOOP('',(#6797,#6798,#6799,#6800,#6801,#6802))!#1454=EDGE_LOOP('',(#6803,#6804,#6805,#6806,#6807,#6808))!#1455=EDGE_LOOP('',(#6809,#6810,#6811,#6812,#6813,#6814))!#1456=EDGE_LOOP('',(#6815,#6816,#6817,#6818,#6819,#6820,#6821))!#1457=EDGE_LOOP('',(#6822,#6823))!#1458=EDGE_LOOP('',(#6824,#6825))!#1459=EDGE_LOOP('',(#6826,#6827,#6828,#6829,#6830,#6831))!#1460=EDGE_LOOP('',(#6832,#6833))!#1461=EDGE_LOOP('',(#6834,#6835))!#1462=EDGE_LOOP('',(#6836,#6837,#6838,#6839,#6840,#6841,#6842))!#1463=EDGE_LOOP('',(#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850))!#1464=EDGE_LOOP('',(#6851,#6852,#6853,#6854,#6855,#6856))!#1465=EDGE_LOOP('',(#6857,#6858,#6859,#6860,#6861,#6862))!#1466=EDGE_LOOP('',(#6863,#6864))!#1467=EDGE_LOOP('',(#6865,#6866))!#1468=EDGE_LOOP('',(#6867,#6868))!#1469=EDGE_LOOP('',(#6869,#6870,#6871,#6872,#6873,#6874))!#1470=EDGE_LOOP('',(#6875,#6876,#6877,#6878,#6879,#6880))!#1471=EDGE_LOOP('',(#6881,#6882,#6883,#6884,#6885,#6886))!#1472=EDGE_LOOP('',(#6887,#6888,#6889,#6890,#6891,#6892))!#1473=EDGE_LOOP('',(#6893,#6894,#6895,#6896,#6897,#6898,#6899))!#1474=EDGE_LOOP('',(#6900,#6901))!#1475=EDGE_LOOP('',(#6902,#6903))!#1476=EDGE_LOOP('',(#6904,#6905,#6906,#6907,#6908,#6909))!#1477=EDGE_LOOP('',(#6910,#6911))!#1478=EDGE_LOOP('',(#6912,#6913))!#1479=EDGE_LOOP('',(#6914,#6915,#6916,#6917,#6918,#6919,#6920))!#1480=EDGE_LOOP('',(#6921,#6922,#6923,#6924,#6925,#6926,#6927,#6928))!#1481=EDGE_LOOP('',(#6929,#6930,#6931,#6932,#6933,#6934))!#1482=EDGE_LOOP('',(#6935,#6936,#6937,#6938,#6939,#6940))!#1483=EDGE_LOOP('',(#6941,#6942,#6943))!#1484=EDGE_LOOP('',(#6944,#6945,#6946))!#1485=EDGE_LOOP('',(#6947,#6948,#6949))!#1486=EDGE_LOOP('',(#6950,#6951,#6952))!#1487=EDGE_LOOP('',(#6953,#6954,#6955))!#1488=EDGE_LOOP('',(#6956,#6957,#6958))!#1489=EDGE_LOOP('',(#6959,#6960,#6961,#6962,#6963))!#1490=EDGE_LOOP('',(#6964,#6965,#6966,#6967,#6968))!#1491=EDGE_LOOP('',(#6969,#6970,#6971,#6972,#6973))!#1492=EDGE_LOOP('',(#6974,#6975,#6976,#6977,#6978))!#1493=EDGE_LOOP('',(#6979,#6980,#6981,#6982,#6983))!#1494=EDGE_LOOP('',(#6984,#6985,#6986,#6987,#6988))!#1495=EDGE_LOOP('',(#6989,#6990,#6991,#6992,#6993,#6994))!#1496=EDGE_LOOP('',(#6995,#6996,#6997))!#1497=EDGE_LOOP('',(#6998,#6999,#7000,#7001,#7002,#7003))!#1498=EDGE_LOOP('',(#7004))!#1499=EDGE_LOOP('',(#7005,#7006,#7007))!#1500=EDGE_LOOP('',(#7008,#7009,#7010,#7011))!#1501=EDGE_LOOP('',(#7012,#7013,#7014,#7015,#7016))!#1502=EDGE_LOOP('',(#7017,#7018,#7019,#7020))!#1503=EDGE_LOOP('',(#7021,#7022,#7023,#7024))!#1504=EDGE_LOOP('',(#7025,#7026,#7027,#7028))!#1505=EDGE_LOOP('',(#7029,#7030,#7031,#7032))!#1506=EDGE_LOOP('',(#7033,#7034,#7035,#7036))!#1507=EDGE_LOOP('',(#7037,#7038,#7039,#7040))!#1508=EDGE_LOOP('',(#7041,#7042,#7043,#7044))!#1509=EDGE_LOOP('',(#7045,#7046,#7047,#7048,#7049,#7050))!#1510=EDGE_LOOP('',(#7051,#7052,#7053,#7054,#7055,#7056))!#1511=EDGE_LOOP('',(#7057,#7058,#7059,#7060,#7061,#7062))!#1512=EDGE_LOOP('',(#7063,#7064,#7065,#7066,#7067,#7068))!#1513=EDGE_LOOP('',(#7069,#7070,#7071,#7072,#7073,#7074))!#1514=EDGE_LOOP('',(#7075,#7076,#7077,#7078))!#1515=EDGE_LOOP('',(#7079,#7080,#7081,#7082))!#1516=EDGE_LOOP('',(#7083,#7084,#7085,#7086))!#1517=EDGE_LOOP('',(#7087,#7088,#7089))!#1518=EDGE_LOOP('',(#7090,#7091,#7092))!#1519=EDGE_LOOP('',(#7093,#7094,#7095,#7096))!#1520=EDGE_LOOP('',(#7097,#7098,#7099,#7100))!#1521=EDGE_LOOP('',(#7101,#7102,#7103,#7104))!#1522=EDGE_LOOP('',(#7105,#7106,#7107,#7108,#7109))!#1523=EDGE_LOOP('',(#7110,#7111,#7112,#7113,#7114))!#1524=EDGE_LOOP('',(#7115,#7116,#7117,#7118,#7119,#7120,#7121,#7122))!#1525=EDGE_LOOP('',(#7123,#7124,#7125,#7126))!#1526=EDGE_LOOP('',(#7127,#7128,#7129,#7130,#7131,#7132,#7133,#7134))!#1527=EDGE_LOOP('',(#7135,#7136,#7137,#7138))!#1528=EDGE_LOOP('',(#7139,#7140,#7141,#7142,#7143,#7144,#7145,#7146))!#1529=EDGE_LOOP('',(#7147,#7148,#7149,#7150,#7151,#7152))!#1530=EDGE_LOOP('',(#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160))!#1531=EDGE_LOOP('',(#7161,#7162,#7163,#7164))!#1532=EDGE_LOOP('',(#7165,#7166,#7167,#7168))!#1533=EDGE_LOOP('',(#7169,#7170,#7171,#7172))!#1534=EDGE_LOOP('',(#7173,#7174,#7175,#7176))!#1535=EDGE_LOOP('',(#7177,#7178))!#1536=EDGE_LOOP('',(#7179,#7180,#7181,#7182))!#1537=EDGE_LOOP('',(#7183,#7184,#7185,#7186,#7187,#7188,#7189,#7190))!#1538=EDGE_LOOP('',(#7191,#7192,#7193,#7194))!#1539=EDGE_LOOP('',(#7195,#7196,#7197,#7198))!#1540=EDGE_LOOP('',(#7199,#7200,#7201,#7202,#7203,#7204,#7205,#7206))!#1541=EDGE_LOOP('',(#7207,#7208,#7209,#7210,#7211))!#1542=EDGE_LOOP('',(#7212,#7213,#7214,#7215,#7216))!#1543=EDGE_LOOP('',(#7217,#7218,#7219,#7220,#7221,#7222))!#1544=EDGE_LOOP('',(#7223,#7224,#7225,#7226,#7227))!#1545=EDGE_LOOP('',(#7228,#7229,#7230,#7231))!#1546=EDGE_LOOP('',(#7232,#7233,#7234,#7235))!#1547=EDGE_LOOP('',(#7236,#7237,#7238,#7239,#7240))!#1548=EDGE_LOOP('',(#7241,#7242,#7243,#7244,#7245,#7246,#7247,#7248))!#1549=EDGE_LOOP('',(#7249,#7250,#7251,#7252))!#1550=EDGE_LOOP('',(#7253,#7254,#7255,#7256))!#1551=EDGE_LOOP('',(#7257,#7258,#7259,#7260))!#1552=EDGE_LOOP('',(#7261,#7262,#7263,#7264,#7265,#7266,#7267,#7268,#7269, #7270,#7271,#7272,#7273))!#1553=EDGE_LOOP('',(#7274,#7275,#7276,#7277,#7278))!#1554=EDGE_LOOP('',(#7279,#7280,#7281,#7282,#7283))!#1555=EDGE_LOOP('',(#7284,#7285,#7286,#7287,#7288,#7289,#7290,#7291,#7292, #7293,#7294,#7295,#7296))!#1556=EDGE_LOOP('',(#7297,#7298,#7299,#7300,#7301,#7302,#7303))!#1557=EDGE_LOOP('',(#7304,#7305,#7306,#7307))!#1558=EDGE_LOOP('',(#7308,#7309,#7310,#7311))!#1559=EDGE_LOOP('',(#7312,#7313,#7314,#7315,#7316,#7317,#7318))!#1560=EDGE_LOOP('',(#7319,#7320,#7321,#7322,#7323,#7324,#7325,#7326,#7327, #7328,#7329,#7330,#7331,#7332,#7333))!#1561=EDGE_LOOP('',(#7334,#7335,#7336,#7337))!#1562=EDGE_LOOP('',(#7338,#7339,#7340,#7341))!#1563=EDGE_LOOP('',(#7342,#7343,#7344,#7345,#7346,#7347,#7348,#7349,#7350, #7351,#7352,#7353,#7354))!#1564=EDGE_LOOP('',(#7355,#7356,#7357,#7358))!#1565=EDGE_LOOP('',(#7359,#7360,#7361,#7362))!#1566=EDGE_LOOP('',(#7363,#7364,#7365,#7366,#7367,#7368))!#1567=EDGE_LOOP('',(#7369,#7370,#7371,#7372,#7373,#7374))!#1568=EDGE_LOOP('',(#7375,#7376,#7377,#7378))!#1569=EDGE_LOOP('',(#7379,#7380,#7381,#7382))!#1570=EDGE_LOOP('',(#7383,#7384,#7385,#7386,#7387,#7388,#7389,#7390,#7391, #7392,#7393,#7394,#7395,#7396))!#1571=EDGE_LOOP('',(#7397,#7398,#7399,#7400))!#1572=EDGE_LOOP('',(#7401,#7402,#7403,#7404))!#1573=EDGE_LOOP('',(#7405,#7406,#7407,#7408))!#1574=EDGE_LOOP('',(#7409,#7410,#7411,#7412))!#1575=EDGE_LOOP('',(#7413,#7414,#7415,#7416))!#1576=EDGE_LOOP('',(#7417,#7418,#7419,#7420))!#1577=EDGE_LOOP('',(#7421,#7422,#7423,#7424,#7425,#7426,#7427,#7428))!#1578=EDGE_LOOP('',(#7429,#7430,#7431,#7432,#7433,#7434))!#1579=EDGE_LOOP('',(#7435,#7436,#7437))!#1580=EDGE_LOOP('',(#7438,#7439,#7440,#7441,#7442,#7443,#7444))!#1581=EDGE_LOOP('',(#7445,#7446,#7447,#7448,#7449,#7450,#7451,#7452))!#1582=EDGE_LOOP('',(#7453,#7454,#7455,#7456,#7457,#7458,#7459,#7460,#7461, #7462))!#1583=EDGE_LOOP('',(#7463,#7464,#7465))!#1584=EDGE_LOOP('',(#7466,#7467,#7468,#7469,#7470,#7471,#7472,#7473,#7474, #7475,#7476))!#1585=EDGE_LOOP('',(#7477,#7478,#7479,#7480,#7481,#7482,#7483,#7484,#7485, #7486,#7487,#7488))!#1586=EDGE_LOOP('',(#7489,#7490))!#1587=EDGE_LOOP('',(#7491,#7492))!#1588=EDGE_LOOP('',(#7493,#7494,#7495,#7496,#7497,#7498))!#1589=EDGE_LOOP('',(#7499,#7500))!#1590=EDGE_LOOP('',(#7501,#7502,#7503,#7504,#7505,#7506,#7507,#7508,#7509))!#1591=EDGE_LOOP('',(#7510,#7511,#7512,#7513,#7514,#7515,#7516))!#1592=EDGE_LOOP('',(#7517,#7518,#7519,#7520,#7521,#7522,#7523))!#1593=EDGE_LOOP('',(#7524,#7525))!#1594=EDGE_LOOP('',(#7526,#7527))!#1595=EDGE_LOOP('',(#7528,#7529,#7530,#7531,#7532,#7533,#7534))!#1596=EDGE_LOOP('',(#7535,#7536,#7537,#7538,#7539,#7540,#7541,#7542))!#1597=EDGE_LOOP('',(#7543,#7544,#7545,#7546,#7547,#7548,#7549))!#1598=EDGE_LOOP('',(#7550,#7551))!#1599=EDGE_LOOP('',(#7552,#7553))!#1600=EDGE_LOOP('',(#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562, #7563,#7564,#7565,#7566))!#1601=EDGE_LOOP('',(#7567,#7568,#7569,#7570,#7571,#7572,#7573,#7574,#7575, #7576,#7577,#7578,#7579,#7580))!#1602=EDGE_LOOP('',(#7581,#7582,#7583,#7584,#7585,#7586))!#1603=EDGE_LOOP('',(#7587,#7588,#7589,#7590,#7591,#7592,#7593,#7594))!#1604=EDGE_LOOP('',(#7595,#7596,#7597,#7598,#7599,#7600,#7601,#7602,#7603, #7604,#7605,#7606,#7607))!#1605=EDGE_LOOP('',(#7608,#7609,#7610,#7611,#7612,#7613,#7614,#7615,#7616, #7617))!#1606=EDGE_LOOP('',(#7618,#7619,#7620,#7621,#7622,#7623))!#1607=EDGE_LOOP('',(#7624,#7625,#7626,#7627,#7628,#7629))!#1608=EDGE_LOOP('',(#7630,#7631,#7632,#7633))!#1609=EDGE_LOOP('',(#7634,#7635,#7636,#7637))!#1610=EDGE_LOOP('',(#7638,#7639,#7640,#7641,#7642,#7643,#7644,#7645))!#1611=EDGE_LOOP('',(#7646,#7647,#7648,#7649))!#1612=EDGE_LOOP('',(#7650,#7651,#7652,#7653,#7654,#7655,#7656))!#1613=EDGE_LOOP('',(#7657,#7658,#7659,#7660,#7661))!#1614=EDGE_LOOP('',(#7662,#7663,#7664,#7665,#7666,#7667,#7668,#7669))!#1615=EDGE_LOOP('',(#7670,#7671,#7672,#7673))!#1616=EDGE_LOOP('',(#7674,#7675,#7676,#7677,#7678,#7679,#7680))!#1617=EDGE_LOOP('',(#7681,#7682,#7683,#7684,#7685))!#1618=EDGE_LOOP('',(#7686,#7687,#7688,#7689,#7690,#7691,#7692,#7693))!#1619=EDGE_LOOP('',(#7694,#7695,#7696,#7697))!#1620=EDGE_LOOP('',(#7698,#7699,#7700,#7701))!#1621=EDGE_LOOP('',(#7702,#7703,#7704,#7705))!#1622=EDGE_LOOP('',(#7706,#7707,#7708,#7709,#7710,#7711,#7712,#7713))!#1623=EDGE_LOOP('',(#7714,#7715,#7716,#7717,#7718))!#1624=EDGE_LOOP('',(#7719,#7720,#7721,#7722))!#1625=EDGE_LOOP('',(#7723,#7724,#7725,#7726))!#1626=EDGE_LOOP('',(#7727,#7728,#7729,#7730,#7731))!#1627=EDGE_LOOP('',(#7732,#7733,#7734,#7735,#7736))!#1628=EDGE_LOOP('',(#7737,#7738,#7739,#7740,#7741,#7742))!#1629=EDGE_LOOP('',(#7743,#7744,#7745,#7746,#7747))!#1630=EDGE_LOOP('',(#7748,#7749,#7750,#7751,#7752,#7753,#7754,#7755))!#1631=EDGE_LOOP('',(#7756,#7757,#7758,#7759))!#1632=EDGE_LOOP('',(#7760,#7761,#7762,#7763))!#1633=EDGE_LOOP('',(#7764,#7765,#7766,#7767,#7768,#7769,#7770,#7771))!#1634=EDGE_LOOP('',(#7772,#7773,#7774,#7775))!#1635=EDGE_LOOP('',(#7776,#7777,#7778,#7779))!#1636=EDGE_LOOP('',(#7780,#7781))!#1637=EDGE_LOOP('',(#7782,#7783,#7784,#7785))!#1638=EDGE_LOOP('',(#7786,#7787,#7788,#7789))!#1639=EDGE_LOOP('',(#7790,#7791,#7792,#7793))!#1640=EDGE_LOOP('',(#7794,#7795,#7796,#7797,#7798,#7799))!#1641=EDGE_LOOP('',(#7800,#7801,#7802,#7803,#7804,#7805))!#1642=EDGE_LOOP('',(#7806,#7807,#7808,#7809,#7810,#7811,#7812,#7813))!#1643=EDGE_LOOP('',(#7814,#7815,#7816,#7817))!#1644=EDGE_LOOP('',(#7818,#7819,#7820,#7821,#7822,#7823))!#1645=EDGE_LOOP('',(#7824,#7825,#7826,#7827))!#1646=EDGE_LOOP('',(#7828,#7829,#7830,#7831,#7832,#7833,#7834,#7835))!#1647=EDGE_LOOP('',(#7836,#7837,#7838,#7839,#7840))!#1648=EDGE_LOOP('',(#7841,#7842,#7843,#7844,#7845))!#1649=EDGE_LOOP('',(#7846,#7847,#7848,#7849))!#1650=EDGE_LOOP('',(#7850,#7851,#7852,#7853))!#1651=EDGE_LOOP('',(#7854,#7855,#7856,#7857))!#1652=EDGE_LOOP('',(#7858,#7859,#7860,#7861,#7862,#7863,#7864))!#1653=EDGE_LOOP('',(#7865,#7866,#7867,#7868,#7869,#7870,#7871))!#1654=EDGE_LOOP('',(#7872,#7873,#7874,#7875,#7876))!#1655=EDGE_LOOP('',(#7877,#7878,#7879,#7880))!#1656=EDGE_LOOP('',(#7881,#7882,#7883,#7884,#7885))!#1657=EDGE_LOOP('',(#7886,#7887,#7888))!#1658=EDGE_LOOP('',(#7889,#7890,#7891,#7892,#7893,#7894))!#1659=EDGE_LOOP('',(#7895,#7896,#7897,#7898,#7899))!#1660=EDGE_LOOP('',(#7900,#7901,#7902,#7903))!#1661=EDGE_LOOP('',(#7904,#7905,#7906,#7907,#7908))!#1662=EDGE_LOOP('',(#7909,#7910,#7911,#7912))!#1663=EDGE_LOOP('',(#7913,#7914,#7915,#7916))!#1664=EDGE_LOOP('',(#7917,#7918,#7919,#7920))!#1665=EDGE_LOOP('',(#7921,#7922,#7923,#7924))!#1666=EDGE_LOOP('',(#7925,#7926,#7927,#7928))!#1667=EDGE_LOOP('',(#7929,#7930,#7931,#7932))!#1668=EDGE_LOOP('',(#7933,#7934,#7935))!#1669=EDGE_LOOP('',(#7936,#7937,#7938,#7939,#7940))!#1670=EDGE_LOOP('',(#7941))!#1671=EDGE_LOOP('',(#7942,#7943,#7944,#7945,#7946,#7947))!#1672=EDGE_LOOP('',(#7948,#7949,#7950,#7951,#7952,#7953))!#1673=EDGE_LOOP('',(#7954,#7955,#7956,#7957,#7958))!#1674=EDGE_LOOP('',(#7959,#7960,#7961,#7962,#7963))!#1675=EDGE_LOOP('',(#7964,#7965,#7966,#7967,#7968))!#1676=EDGE_LOOP('',(#7969,#7970,#7971,#7972,#7973))!#1677=EDGE_LOOP('',(#7974,#7975,#7976,#7977,#7978))!#1678=EDGE_LOOP('',(#7979,#7980,#7981,#7982,#7983))!#1679=EDGE_LOOP('',(#7984,#7985,#7986))!#1680=EDGE_LOOP('',(#7987,#7988,#7989))!#1681=EDGE_LOOP('',(#7990,#7991,#7992))!#1682=EDGE_LOOP('',(#7993,#7994,#7995))!#1683=EDGE_LOOP('',(#7996,#7997,#7998))!#1684=EDGE_LOOP('',(#7999,#8000,#8001))!#1685=EDGE_LOOP('',(#8002,#8003))!#1686=EDGE_LOOP('',(#8004,#8005))!#1687=EDGE_LOOP('',(#8006,#8007,#8008,#8009,#8010,#8011))!#1688=EDGE_LOOP('',(#8012,#8013))!#1689=EDGE_LOOP('',(#8014,#8015))!#1690=EDGE_LOOP('',(#8016,#8017,#8018,#8019,#8020,#8021,#8022,#8023,#8024, #8025,#8026,#8027,#8028,#8029))!#1691=EDGE_LOOP('',(#8030,#8031))!#1692=EDGE_LOOP('',(#8032,#8033,#8034,#8035))!#1693=EDGE_LOOP('',(#8036,#8037))!#1694=EDGE_LOOP('',(#8038,#8039))!#1695=EDGE_LOOP('',(#8040,#8041,#8042,#8043))!#1696=EDGE_LOOP('',(#8044,#8045,#8046,#8047))!#1697=EDGE_LOOP('',(#8048,#8049,#8050,#8051))!#1698=EDGE_LOOP('',(#8052,#8053,#8054,#8055))!#1699=EDGE_LOOP('',(#8056,#8057,#8058,#8059))!#1700=EDGE_LOOP('',(#8060,#8061,#8062,#8063))!#1701=EDGE_LOOP('',(#8064,#8065,#8066,#8067))!#1702=EDGE_LOOP('',(#8068,#8069,#8070,#8071,#8072,#8073))!#1703=EDGE_LOOP('',(#8074,#8075,#8076,#8077,#8078,#8079))!#1704=EDGE_LOOP('',(#8080,#8081,#8082,#8083,#8084,#8085))!#1705=EDGE_LOOP('',(#8086,#8087,#8088,#8089))!#1706=EDGE_LOOP('',(#8090,#8091,#8092,#8093))!#1707=EDGE_LOOP('',(#8094,#8095,#8096,#8097))!#1708=EDGE_LOOP('',(#8098,#8099,#8100,#8101))!#1709=EDGE_LOOP('',(#8102,#8103,#8104,#8105))!#1710=EDGE_LOOP('',(#8106,#8107,#8108,#8109))!#1711=EDGE_LOOP('',(#8110,#8111,#8112,#8113))!#1712=EDGE_LOOP('',(#8114,#8115,#8116,#8117))!#1713=EDGE_LOOP('',(#8118,#8119,#8120,#8121))!#1714=EDGE_LOOP('',(#8122,#8123,#8124,#8125,#8126,#8127,#8128,#8129,#8130, #8131,#8132,#8133,#8134))!#1715=EDGE_LOOP('',(#8135,#8136,#8137,#8138))!#1716=EDGE_LOOP('',(#8139,#8140,#8141,#8142,#8143,#8144))!#1717=EDGE_LOOP('',(#8145,#8146,#8147,#8148,#8149,#8150,#8151,#8152))!#1718=EDGE_LOOP('',(#8153,#8154,#8155,#8156))!#1719=EDGE_LOOP('',(#8157,#8158,#8159,#8160,#8161,#8162))!#1720=EDGE_LOOP('',(#8163,#8164,#8165,#8166))!#1721=EDGE_LOOP('',(#8167,#8168))!#1722=EDGE_LOOP('',(#8169,#8170))!#1723=EDGE_LOOP('',(#8171,#8172,#8173,#8174,#8175,#8176))!#1724=EDGE_LOOP('',(#8177,#8178))!#1725=EDGE_LOOP('',(#8179,#8180))!#1726=EDGE_LOOP('',(#8181,#8182,#8183,#8184,#8185,#8186,#8187,#8188,#8189))!#1727=EDGE_LOOP('',(#8190,#8191,#8192,#8193,#8194,#8195))!#1728=EDGE_LOOP('',(#8196,#8197,#8198))!#1729=EDGE_LOOP('',(#8199,#8200,#8201))!#1730=EDGE_LOOP('',(#8202,#8203,#8204,#8205,#8206,#8207,#8208,#8209,#8210, #8211,#8212,#8213,#8214))!#1731=EDGE_LOOP('',(#8215,#8216,#8217,#8218,#8219,#8220,#8221))!#1732=EDGE_LOOP('',(#8222,#8223))!#1733=EDGE_LOOP('',(#8224,#8225,#8226,#8227,#8228,#8229,#8230))!#1734=EDGE_LOOP('',(#8231,#8232,#8233,#8234))!#1735=EDGE_LOOP('',(#8235,#8236,#8237,#8238,#8239,#8240))!#1736=EDGE_LOOP('',(#8241,#8242,#8243,#8244,#8245,#8246))!#1737=EDGE_LOOP('',(#8247,#8248,#8249,#8250,#8251,#8252))!#1738=EDGE_LOOP('',(#8253,#8254,#8255,#8256))!#1739=EDGE_LOOP('',(#8257,#8258,#8259,#8260))!#1740=EDGE_LOOP('',(#8261))!#1741=EDGE_LOOP('',(#8262,#8263))!#1742=EDGE_LOOP('',(#8264,#8265,#8266,#8267))!#1743=EDGE_LOOP('',(#8268,#8269,#8270,#8271,#8272,#8273,#8274,#8275,#8276, #8277,#8278,#8279,#8280,#8281,#8282,#8283,#8284,#8285,#8286,#8287,#8288, #8289,#8290,#8291,#8292,#8293))!#1744=EDGE_LOOP('',(#8294,#8295,#8296,#8297))!#1745=EDGE_LOOP('',(#8298,#8299,#8300,#8301))!#1746=EDGE_LOOP('',(#8302,#8303,#8304,#8305,#8306,#8307,#8308,#8309,#8310, #8311,#8312,#8313,#8314,#8315,#8316,#8317,#8318,#8319,#8320,#8321,#8322, #8323,#8324,#8325,#8326,#8327))!#1747=EDGE_LOOP('',(#8328,#8329,#8330,#8331))!#1748=EDGE_LOOP('',(#8332,#8333,#8334,#8335))!#1749=EDGE_LOOP('',(#8336,#8337,#8338,#8339,#8340,#8341,#8342,#8343))!#1750=EDGE_LOOP('',(#8344,#8345,#8346,#8347,#8348,#8349,#8350,#8351,#8352, #8353,#8354,#8355,#8356,#8357,#8358,#8359,#8360,#8361,#8362,#8363,#8364, #8365,#8366))!#1751=EDGE_LOOP('',(#8367,#8368))!#1752=EDGE_LOOP('',(#8369,#8370,#8371,#8372,#8373,#8374,#8375,#8376,#8377, #8378,#8379,#8380,#8381,#8382,#8383,#8384,#8385,#8386,#8387))!#1753=EDGE_LOOP('',(#8388,#8389,#8390,#8391,#8392,#8393,#8394,#8395,#8396, #8397,#8398,#8399,#8400,#8401,#8402,#8403,#8404,#8405,#8406,#8407,#8408, #8409,#8410,#8411,#8412,#8413,#8414))!#1754=EDGE_LOOP('',(#8415,#8416,#8417,#8418,#8419,#8420,#8421,#8422,#8423, #8424,#8425,#8426,#8427))!#1755=EDGE_LOOP('',(#8428,#8429,#8430,#8431,#8432,#8433,#8434,#8435))!#1756=EDGE_LOOP('',(#8436,#8437,#8438,#8439))!#1757=EDGE_LOOP('',(#8440,#8441,#8442,#8443))!#1758=EDGE_LOOP('',(#8444,#8445,#8446,#8447))!#1759=EDGE_LOOP('',(#8448,#8449,#8450,#8451))!#1760=EDGE_LOOP('',(#8452,#8453,#8454,#8455))!#1761=EDGE_LOOP('',(#8456,#8457,#8458,#8459))!#1762=EDGE_LOOP('',(#8460,#8461,#8462,#8463))!#1763=EDGE_LOOP('',(#8464,#8465,#8466,#8467))!#1764=EDGE_LOOP('',(#8468,#8469,#8470,#8471))!#1765=EDGE_LOOP('',(#8472,#8473,#8474,#8475))!#1766=EDGE_LOOP('',(#8476,#8477,#8478,#8479))!#1767=EDGE_LOOP('',(#8480,#8481,#8482,#8483))!#1768=EDGE_LOOP('',(#8484,#8485,#8486,#8487))!#1769=EDGE_LOOP('',(#8488,#8489,#8490,#8491))!#1770=EDGE_LOOP('',(#8492,#8493,#8494,#8495))!#1771=EDGE_LOOP('',(#8496,#8497,#8498,#8499))!#1772=EDGE_LOOP('',(#8500,#8501,#8502,#8503))!#1773=EDGE_LOOP('',(#8504,#8505,#8506,#8507))!#1774=EDGE_LOOP('',(#8508,#8509,#8510,#8511))!#1775=EDGE_LOOP('',(#8512,#8513))!#1776=EDGE_LOOP('',(#8514))!#1777=EDGE_LOOP('',(#8515,#8516,#8517,#8518,#8519,#8520,#8521,#8522))!#1778=EDGE_LOOP('',(#8523,#8524,#8525,#8526,#8527,#8528,#8529))!#1779=EDGE_LOOP('',(#8530,#8531,#8532,#8533,#8534,#8535,#8536))!#1780=EDGE_LOOP('',(#8537,#8538,#8539,#8540,#8541,#8542,#8543,#8544))!#1781=EDGE_LOOP('',(#8545,#8546,#8547,#8548,#8549,#8550,#8551,#8552))!#1782=EDGE_LOOP('',(#8553,#8554,#8555,#8556,#8557,#8558,#8559,#8560))!#1783=EDGE_LOOP('',(#8561,#8562,#8563,#8564,#8565,#8566,#8567))!#1784=EDGE_LOOP('',(#8568,#8569,#8570,#8571,#8572,#8573))!#1785=EDGE_LOOP('',(#8574,#8575,#8576,#8577,#8578,#8579))!#1786=EDGE_LOOP('',(#8580,#8581))!#1787=EDGE_LOOP('',(#8582,#8583))!#1788=EDGE_LOOP('',(#8584,#8585,#8586,#8587,#8588))!#1789=EDGE_LOOP('',(#8589,#8590,#8591,#8592))!#1790=EDGE_LOOP('',(#8593,#8594))!#1791=EDGE_LOOP('',(#8595,#8596,#8597,#8598,#8599,#8600))!#1792=EDGE_LOOP('',(#8601,#8602,#8603,#8604,#8605,#8606))!#1793=EDGE_LOOP('',(#8607,#8608))!#1794=EDGE_LOOP('',(#8609,#8610,#8611,#8612))!#1795=EDGE_LOOP('',(#8613,#8614))!#1796=EDGE_LOOP('',(#8615,#8616,#8617,#8618,#8619,#8620))!#1797=EDGE_LOOP('',(#8621,#8622,#8623,#8624,#8625,#8626))!#1798=EDGE_LOOP('',(#8627,#8628))!#1799=EDGE_LOOP('',(#8629,#8630,#8631,#8632,#8633,#8634))!#1800=EDGE_LOOP('',(#8635,#8636,#8637,#8638,#8639))!#1801=EDGE_LOOP('',(#8640,#8641,#8642,#8643,#8644))!#1802=EDGE_LOOP('',(#8645,#8646,#8647,#8648,#8649))!#1803=EDGE_LOOP('',(#8650,#8651,#8652,#8653,#8654))!#1804=EDGE_LOOP('',(#8655,#8656,#8657,#8658,#8659))!#1805=EDGE_LOOP('',(#8660,#8661,#8662,#8663,#8664))!#1806=EDGE_LOOP('',(#8665,#8666,#8667))!#1807=EDGE_LOOP('',(#8668,#8669,#8670))!#1808=EDGE_LOOP('',(#8671,#8672,#8673))!#1809=EDGE_LOOP('',(#8674,#8675,#8676))!#1810=EDGE_LOOP('',(#8677,#8678,#8679))!#1811=EDGE_LOOP('',(#8680,#8681,#8682))!#1812=EDGE_LOOP('',(#8683))!#1813=EDGE_LOOP('',(#8684,#8685,#8686,#8687,#8688))!#1814=EDGE_LOOP('',(#8689,#8690,#8691,#8692,#8693,#8694))!#1815=EDGE_LOOP('',(#8695,#8696,#8697,#8698,#8699))!#1816=EDGE_LOOP('',(#8700,#8701,#8702,#8703,#8704))!#1817=EDGE_LOOP('',(#8705,#8706,#8707,#8708,#8709))!#1818=EDGE_LOOP('',(#8710,#8711,#8712,#8713,#8714))!#1819=EDGE_LOOP('',(#8715,#8716,#8717,#8718,#8719))!#1820=EDGE_LOOP('',(#8720,#8721,#8722,#8723,#8724))!#1821=EDGE_LOOP('',(#8725,#8726,#8727))!#1822=EDGE_LOOP('',(#8728,#8729,#8730))!#1823=EDGE_LOOP('',(#8731,#8732,#8733))!#1824=EDGE_LOOP('',(#8734,#8735,#8736))!#1825=EDGE_LOOP('',(#8737,#8738,#8739))!#1826=EDGE_LOOP('',(#8740,#8741,#8742))!#1827=EDGE_LOOP('',(#8743))!#1828=EDGE_LOOP('',(#8744,#8745,#8746,#8747))!#1829=LINE('',#13221,#2515)!#1830=LINE('',#13286,#2516)!#1831=LINE('',#13288,#2517)!#1832=LINE('',#13289,#2518)!#1833=LINE('',#13292,#2519)!#1834=LINE('',#13293,#2520)!#1835=LINE('',#13296,#2521)!#1836=LINE('',#13297,#2522)!#1837=LINE('',#13300,#2523)!#1838=LINE('',#13301,#2524)!#1839=LINE('',#13304,#2525)!#1840=LINE('',#13305,#2526)!#1841=LINE('',#13307,#2527)!#1842=LINE('',#13313,#2528)!#1843=LINE('',#13380,#2529)!#1844=LINE('',#13382,#2530)!#1845=LINE('',#13383,#2531)!#1846=LINE('',#13386,#2532)!#1847=LINE('',#13387,#2533)!#1848=LINE('',#13390,#2534)!#1849=LINE('',#13391,#2535)!#1850=LINE('',#13394,#2536)!#1851=LINE('',#13395,#2537)!#1852=LINE('',#13398,#2538)!#1853=LINE('',#13399,#2539)!#1854=LINE('',#13401,#2540)!#1855=LINE('',#13406,#2541)!#1856=LINE('',#13408,#2542)!#1857=LINE('',#13410,#2543)!#1858=LINE('',#13411,#2544)!#1859=LINE('',#13423,#2545)!#1860=LINE('',#13429,#2546)!#1861=LINE('',#13431,#2547)!#1862=LINE('',#13432,#2548)!#1863=LINE('',#13435,#2549)!#1864=LINE('',#13437,#2550)!#1865=LINE('',#13438,#2551)!#1866=LINE('',#13441,#2552)!#1867=LINE('',#13443,#2553)!#1868=LINE('',#13444,#2554)!#1869=LINE('',#13447,#2555)!#1870=LINE('',#13449,#2556)!#1871=LINE('',#13450,#2557)!#1872=LINE('',#13453,#2558)!#1873=LINE('',#13455,#2559)!#1874=LINE('',#13456,#2560)!#1875=LINE('',#13459,#2561)!#1876=LINE('',#13461,#2562)!#1877=LINE('',#13462,#2563)!#1878=LINE('',#13465,#2564)!#1879=LINE('',#13467,#2565)!#1880=LINE('',#13468,#2566)!#1881=LINE('',#13471,#2567)!#1882=LINE('',#13473,#2568)!#1883=LINE('',#13474,#2569)!#1884=LINE('',#13477,#2570)!#1885=LINE('',#13479,#2571)!#1886=LINE('',#13480,#2572)!#1887=LINE('',#13482,#2573)!#1888=LINE('',#13483,#2574)!#1889=LINE('',#13488,#2575)!#1890=LINE('',#13490,#2576)!#1891=LINE('',#13492,#2577)!#1892=LINE('',#13493,#2578)!#1893=LINE('',#13495,#2579)!#1894=LINE('',#13496,#2580)!#1895=LINE('',#13497,#2581)!#1896=LINE('',#13500,#2582)!#1897=LINE('',#13502,#2583)!#1898=LINE('',#13503,#2584)!#1899=LINE('',#13506,#2585)!#1900=LINE('',#13508,#2586)!#1901=LINE('',#13509,#2587)!#1902=LINE('',#13512,#2588)!#1903=LINE('',#13514,#2589)!#1904=LINE('',#13515,#2590)!#1905=LINE('',#13518,#2591)!#1906=LINE('',#13520,#2592)!#1907=LINE('',#13521,#2593)!#1908=LINE('',#13524,#2594)!#1909=LINE('',#13526,#2595)!#1910=LINE('',#13527,#2596)!#1911=LINE('',#13530,#2597)!#1912=LINE('',#13532,#2598)!#1913=LINE('',#13533,#2599)!#1914=LINE('',#13536,#2600)!#1915=LINE('',#13538,#2601)!#1916=LINE('',#13539,#2602)!#1917=LINE('',#13542,#2603)!#1918=LINE('',#13544,#2604)!#1919=LINE('',#13545,#2605)!#1920=LINE('',#13547,#2606)!#1921=LINE('',#13548,#2607)!#1922=LINE('',#13590,#2608)!#1923=LINE('',#13591,#2609)!#1924=LINE('',#13628,#2610)!#1925=LINE('',#13631,#2611)!#1926=LINE('',#13646,#2612)!#1927=LINE('',#13662,#2613)!#1928=LINE('',#13678,#2614)!#1929=LINE('',#13685,#2615)!#1930=LINE('',#13687,#2616)!#1931=LINE('',#13688,#2617)!#1932=LINE('',#13691,#2618)!#1933=LINE('',#13693,#2619)!#1934=LINE('',#13694,#2620)!#1935=LINE('',#13697,#2621)!#1936=LINE('',#13699,#2622)!#1937=LINE('',#13700,#2623)!#1938=LINE('',#13703,#2624)!#1939=LINE('',#13705,#2625)!#1940=LINE('',#13706,#2626)!#1941=LINE('',#13709,#2627)!#1942=LINE('',#13711,#2628)!#1943=LINE('',#13712,#2629)!#1944=LINE('',#13715,#2630)!#1945=LINE('',#13717,#2631)!#1946=LINE('',#13718,#2632)!#1947=LINE('',#13721,#2633)!#1948=LINE('',#13723,#2634)!#1949=LINE('',#13724,#2635)!#1950=LINE('',#13727,#2636)!#1951=LINE('',#13729,#2637)!#1952=LINE('',#13730,#2638)!#1953=LINE('',#13733,#2639)!#1954=LINE('',#13735,#2640)!#1955=LINE('',#13736,#2641)!#1956=LINE('',#13739,#2642)!#1957=LINE('',#13741,#2643)!#1958=LINE('',#13742,#2644)!#1959=LINE('',#13745,#2645)!#1960=LINE('',#13747,#2646)!#1961=LINE('',#13748,#2647)!#1962=LINE('',#13763,#2648)!#1963=LINE('',#13782,#2649)!#1964=LINE('',#13801,#2650)!#1965=LINE('',#13838,#2651)!#1966=LINE('',#13844,#2652)!#1967=LINE('',#13859,#2653)!#1968=LINE('',#13878,#2654)!#1969=LINE('',#13897,#2655)!#1970=LINE('',#13901,#2656)!#1971=LINE('',#13903,#2657)!#1972=LINE('',#13904,#2658)!#1973=LINE('',#13907,#2659)!#1974=LINE('',#13909,#2660)!#1975=LINE('',#13910,#2661)!#1976=LINE('',#13913,#2662)!#1977=LINE('',#13915,#2663)!#1978=LINE('',#13916,#2664)!#1979=LINE('',#13919,#2665)!#1980=LINE('',#13921,#2666)!#1981=LINE('',#13922,#2667)!#1982=LINE('',#13925,#2668)!#1983=LINE('',#13927,#2669)!#1984=LINE('',#13928,#2670)!#1985=LINE('',#13931,#2671)!#1986=LINE('',#13933,#2672)!#1987=LINE('',#13934,#2673)!#1988=LINE('',#13949,#2674)!#1989=LINE('',#13968,#2675)!#1990=LINE('',#13987,#2676)!#1991=LINE('',#14006,#2677)!#1992=LINE('',#14025,#2678)!#1993=LINE('',#14044,#2679)!#1994=LINE('',#14051,#2680)!#1995=LINE('',#14053,#2681)!#1996=LINE('',#14054,#2682)!#1997=LINE('',#14057,#2683)!#1998=LINE('',#14059,#2684)!#1999=LINE('',#14060,#2685)!#2000=LINE('',#14063,#2686)!#2001=LINE('',#14065,#2687)!#2002=LINE('',#14066,#2688)!#2003=LINE('',#14069,#2689)!#2004=LINE('',#14071,#2690)!#2005=LINE('',#14072,#2691)!#2006=LINE('',#14075,#2692)!#2007=LINE('',#14077,#2693)!#2008=LINE('',#14078,#2694)!#2009=LINE('',#14081,#2695)!#2010=LINE('',#14083,#2696)!#2011=LINE('',#14084,#2697)!#2012=LINE('',#14087,#2698)!#2013=LINE('',#14089,#2699)!#2014=LINE('',#14090,#2700)!#2015=LINE('',#14105,#2701)!#2016=LINE('',#14124,#2702)!#2017=LINE('',#14143,#2703)!#2018=LINE('',#14205,#2704)!#2019=LINE('',#14285,#2705)!#2020=LINE('',#14287,#2706)!#2021=LINE('',#14288,#2707)!#2022=LINE('',#14291,#2708)!#2023=LINE('',#14292,#2709)!#2024=LINE('',#14295,#2710)!#2025=LINE('',#14296,#2711)!#2026=LINE('',#14298,#2712)!#2027=LINE('',#14303,#2713)!#2028=LINE('',#14305,#2714)!#2029=LINE('',#14307,#2715)!#2030=LINE('',#14308,#2716)!#2031=LINE('',#14311,#2717)!#2032=LINE('',#14313,#2718)!#2033=LINE('',#14315,#2719)!#2034=LINE('',#14316,#2720)!#2035=LINE('',#14357,#2721)!#2036=LINE('',#14436,#2722)!#2037=LINE('',#14437,#2723)!#2038=LINE('',#14439,#2724)!#2039=LINE('',#14441,#2725)!#2040=LINE('',#14457,#2726)!#2041=LINE('',#14462,#2727)!#2042=LINE('',#14466,#2728)!#2043=LINE('',#14470,#2729)!#2044=LINE('',#14474,#2730)!#2045=LINE('',#14476,#2731)!#2046=LINE('',#14477,#2732)!#2047=LINE('',#14479,#2733)!#2048=LINE('',#14480,#2734)!#2049=LINE('',#14487,#2735)!#2050=LINE('',#14491,#2736)!#2051=LINE('',#14498,#2737)!#2052=LINE('',#14505,#2738)!#2053=LINE('',#14509,#2739)!#2054=LINE('',#14510,#2740)!#2055=LINE('',#14513,#2741)!#2056=LINE('',#14514,#2742)!#2057=LINE('',#14515,#2743)!#2058=LINE('',#14517,#2744)!#2059=LINE('',#14521,#2745)!#2060=LINE('',#14523,#2746)!#2061=LINE('',#14524,#2747)!#2062=LINE('',#14565,#2748)!#2063=LINE('',#14645,#2749)!#2064=LINE('',#14647,#2750)!#2065=LINE('',#14648,#2751)!#2066=LINE('',#14651,#2752)!#2067=LINE('',#14652,#2753)!#2068=LINE('',#14655,#2754)!#2069=LINE('',#14656,#2755)!#2070=LINE('',#14658,#2756)!#2071=LINE('',#14663,#2757)!#2072=LINE('',#14665,#2758)!#2073=LINE('',#14667,#2759)!#2074=LINE('',#14668,#2760)!#2075=LINE('',#14671,#2761)!#2076=LINE('',#14673,#2762)!#2077=LINE('',#14675,#2763)!#2078=LINE('',#14676,#2764)!#2079=LINE('',#14717,#2765)!#2080=LINE('',#14796,#2766)!#2081=LINE('',#14797,#2767)!#2082=LINE('',#14799,#2768)!#2083=LINE('',#14801,#2769)!#2084=LINE('',#14817,#2770)!#2085=LINE('',#14822,#2771)!#2086=LINE('',#14827,#2772)!#2087=LINE('',#14833,#2773)!#2088=LINE('',#14841,#2774)!#2089=LINE('',#14845,#2775)!#2090=LINE('',#14849,#2776)!#2091=LINE('',#14851,#2777)!#2092=LINE('',#14852,#2778)!#2093=LINE('',#14855,#2779)!#2094=LINE('',#14856,#2780)!#2095=LINE('',#14859,#2781)!#2096=LINE('',#14861,#2782)!#2097=LINE('',#14863,#2783)!#2098=LINE('',#14864,#2784)!#2099=LINE('',#14866,#2785)!#2100=LINE('',#14869,#2786)!#2101=LINE('',#14871,#2787)!#2102=LINE('',#14875,#2788)!#2103=LINE('',#14895,#2789)!#2104=LINE('',#14904,#2790)!#2105=LINE('',#14921,#2791)!#2106=LINE('',#14949,#2792)!#2107=LINE('',#14954,#2793)!#2108=LINE('',#14956,#2794)!#2109=LINE('',#14983,#2795)!#2110=LINE('',#14988,#2796)!#2111=LINE('',#15005,#2797)!#2112=LINE('',#15033,#2798)!#2113=LINE('',#15038,#2799)!#2114=LINE('',#15040,#2800)!#2115=LINE('',#15103,#2801)!#2116=LINE('',#15105,#2802)!#2117=LINE('',#15106,#2803)!#2118=LINE('',#15109,#2804)!#2119=LINE('',#15110,#2805)!#2120=LINE('',#15113,#2806)!#2121=LINE('',#15114,#2807)!#2122=LINE('',#15117,#2808)!#2123=LINE('',#15118,#2809)!#2124=LINE('',#15121,#2810)!#2125=LINE('',#15122,#2811)!#2126=LINE('',#15124,#2812)!#2127=LINE('',#15129,#2813)!#2128=LINE('',#15131,#2814)!#2129=LINE('',#15132,#2815)!#2130=LINE('',#15136,#2816)!#2131=LINE('',#15138,#2817)!#2132=LINE('',#15140,#2818)!#2133=LINE('',#15142,#2819)!#2134=LINE('',#15144,#2820)!#2135=LINE('',#15151,#2821)!#2136=LINE('',#15153,#2822)!#2137=LINE('',#15154,#2823)!#2138=LINE('',#15158,#2824)!#2139=LINE('',#15160,#2825)!#2140=LINE('',#15162,#2826)!#2141=LINE('',#15163,#2827)!#2142=LINE('',#15203,#2828)!#2143=LINE('',#15207,#2829)!#2144=LINE('',#15209,#2830)!#2145=LINE('',#15211,#2831)!#2146=LINE('',#15212,#2832)!#2147=LINE('',#15215,#2833)!#2148=LINE('',#15217,#2834)!#2149=LINE('',#15218,#2835)!#2150=LINE('',#15221,#2836)!#2151=LINE('',#15223,#2837)!#2152=LINE('',#15224,#2838)!#2153=LINE('',#15227,#2839)!#2154=LINE('',#15229,#2840)!#2155=LINE('',#15230,#2841)!#2156=LINE('',#15233,#2842)!#2157=LINE('',#15235,#2843)!#2158=LINE('',#15236,#2844)!#2159=LINE('',#15238,#2845)!#2160=LINE('',#15239,#2846)!#2161=LINE('',#15241,#2847)!#2162=LINE('',#15242,#2848)!#2163=LINE('',#15244,#2849)!#2164=LINE('',#15245,#2850)!#2165=LINE('',#15247,#2851)!#2166=LINE('',#15248,#2852)!#2167=LINE('',#15251,#2853)!#2168=LINE('',#15252,#2854)!#2169=LINE('',#15254,#2855)!#2170=LINE('',#15255,#2856)!#2171=LINE('',#15259,#2857)!#2172=LINE('',#15261,#2858)!#2173=LINE('',#15262,#2859)!#2174=LINE('',#15265,#2860)!#2175=LINE('',#15267,#2861)!#2176=LINE('',#15268,#2862)!#2177=LINE('',#15271,#2863)!#2178=LINE('',#15272,#2864)!#2179=LINE('',#15275,#2865)!#2180=LINE('',#15276,#2866)!#2181=LINE('',#15282,#2867)!#2182=LINE('',#15285,#2868)!#2183=LINE('',#15291,#2869)!#2184=LINE('',#15294,#2870)!#2185=LINE('',#15325,#2871)!#2186=LINE('',#15326,#2872)!#2187=LINE('',#15330,#2873)!#2188=LINE('',#15334,#2874)!#2189=LINE('',#15337,#2875)!#2190=LINE('',#15341,#2876)!#2191=LINE('',#15344,#2877)!#2192=LINE('',#15346,#2878)!#2193=LINE('',#15349,#2879)!#2194=LINE('',#15351,#2880)!#2195=LINE('',#15354,#2881)!#2196=LINE('',#15356,#2882)!#2197=LINE('',#15357,#2883)!#2198=LINE('',#15364,#2884)!#2199=LINE('',#15366,#2885)!#2200=LINE('',#15370,#2886)!#2201=LINE('',#15372,#2887)!#2202=LINE('',#15373,#2888)!#2203=LINE('',#15376,#2889)!#2204=LINE('',#15377,#2890)!#2205=LINE('',#15381,#2891)!#2206=LINE('',#15388,#2892)!#2207=LINE('',#15392,#2893)!#2208=LINE('',#15396,#2894)!#2209=LINE('',#15402,#2895)!#2210=LINE('',#15408,#2896)!#2211=LINE('',#15413,#2897)!#2212=LINE('',#15417,#2898)!#2213=LINE('',#15419,#2899)!#2214=LINE('',#15423,#2900)!#2215=LINE('',#15429,#2901)!#2216=LINE('',#15430,#2902)!#2217=LINE('',#15437,#2903)!#2218=LINE('',#15438,#2904)!#2219=LINE('',#15443,#2905)!#2220=LINE('',#15444,#2906)!#2221=LINE('',#15447,#2907)!#2222=LINE('',#15449,#2908)!#2223=LINE('',#15450,#2909)!#2224=LINE('',#15452,#2910)!#2225=LINE('',#15456,#2911)!#2226=LINE('',#15458,#2912)!#2227=LINE('',#15464,#2913)!#2228=LINE('',#15473,#2914)!#2229=LINE('',#15475,#2915)!#2230=LINE('',#15477,#2916)!#2231=LINE('',#15479,#2917)!#2232=LINE('',#15485,#2918)!#2233=LINE('',#15515,#2919)!#2234=LINE('',#15521,#2920)!#2235=LINE('',#15530,#2921)!#2236=LINE('',#15570,#2922)!#2237=LINE('',#15572,#2923)!#2238=LINE('',#15574,#2924)!#2239=LINE('',#15575,#2925)!#2240=LINE('',#15589,#2926)!#2241=LINE('',#15591,#2927)!#2242=LINE('',#15593,#2928)!#2243=LINE('',#15595,#2929)!#2244=LINE('',#15601,#2930)!#2245=LINE('',#15631,#2931)!#2246=LINE('',#15636,#2932)!#2247=LINE('',#15643,#2933)!#2248=LINE('',#15682,#2934)!#2249=LINE('',#15684,#2935)!#2250=LINE('',#15686,#2936)!#2251=LINE('',#15687,#2937)!#2252=LINE('',#15702,#2938)!#2253=LINE('',#15736,#2939)!#2254=LINE('',#15751,#2940)!#2255=LINE('',#15767,#2941)!#2256=LINE('',#15857,#2942)!#2257=LINE('',#15864,#2943)!#2258=LINE('',#15872,#2944)!#2259=LINE('',#15879,#2945)!#2260=LINE('',#15882,#2946)!#2261=LINE('',#15913,#2947)!#2262=LINE('',#15928,#2948)!#2263=LINE('',#15960,#2949)!#2264=LINE('',#15964,#2950)!#2265=LINE('',#15974,#2951)!#2266=LINE('',#15977,#2952)!#2267=LINE('',#15980,#2953)!#2268=LINE('',#15982,#2954)!#2269=LINE('',#15985,#2955)!#2270=LINE('',#16003,#2956)!#2271=LINE('',#16005,#2957)!#2272=LINE('',#16007,#2958)!#2273=LINE('',#16023,#2959)!#2274=LINE('',#16069,#2960)!#2275=LINE('',#16071,#2961)!#2276=LINE('',#16118,#2962)!#2277=LINE('',#16134,#2963)!#2278=LINE('',#16163,#2964)!#2279=LINE('',#16165,#2965)!#2280=LINE('',#16167,#2966)!#2281=LINE('',#16171,#2967)!#2282=LINE('',#16173,#2968)!#2283=LINE('',#16175,#2969)!#2284=LINE('',#16176,#2970)!#2285=LINE('',#16178,#2971)!#2286=LINE('',#16179,#2972)!#2287=LINE('',#16180,#2973)!#2288=LINE('',#16186,#2974)!#2289=LINE('',#16190,#2975)!#2290=LINE('',#16194,#2976)!#2291=LINE('',#16200,#2977)!#2292=LINE('',#16201,#2978)!#2293=LINE('',#16204,#2979)!#2294=LINE('',#16206,#2980)!#2295=LINE('',#16207,#2981)!#2296=LINE('',#16209,#2982)!#2297=LINE('',#16217,#2983)!#2298=LINE('',#16219,#2984)!#2299=LINE('',#16221,#2985)!#2300=LINE('',#16223,#2986)!#2301=LINE('',#16225,#2987)!#2302=LINE('',#16226,#2988)!#2303=LINE('',#16231,#2989)!#2304=LINE('',#16233,#2990)!#2305=LINE('',#16235,#2991)!#2306=LINE('',#16240,#2992)!#2307=LINE('',#16241,#2993)!#2308=LINE('',#16244,#2994)!#2309=LINE('',#16274,#2995)!#2310=LINE('',#16277,#2996)!#2311=LINE('',#16278,#2997)!#2312=LINE('',#16280,#2998)!#2313=LINE('',#16287,#2999)!#2314=LINE('',#16293,#3000)!#2315=LINE('',#16294,#3001)!#2316=LINE('',#16297,#3002)!#2317=LINE('',#16298,#3003)!#2318=LINE('',#16300,#3004)!#2319=LINE('',#16301,#3005)!#2320=LINE('',#16305,#3006)!#2321=LINE('',#16307,#3007)!#2322=LINE('',#16308,#3008)!#2323=LINE('',#16309,#3009)!#2324=LINE('',#16311,#3010)!#2325=LINE('',#16316,#3011)!#2326=LINE('',#16317,#3012)!#2327=LINE('',#16318,#3013)!#2328=LINE('',#16323,#3014)!#2329=LINE('',#16324,#3015)!#2330=LINE('',#16329,#3016)!#2331=LINE('',#16330,#3017)!#2332=LINE('',#16331,#3018)!#2333=LINE('',#16366,#3019)!#2334=LINE('',#16368,#3020)!#2335=LINE('',#16369,#3021)!#2336=LINE('',#16372,#3022)!#2337=LINE('',#16374,#3023)!#2338=LINE('',#16375,#3024)!#2339=LINE('',#16382,#3025)!#2340=LINE('',#16384,#3026)!#2341=LINE('',#16385,#3027)!#2342=LINE('',#16388,#3028)!#2343=LINE('',#16390,#3029)!#2344=LINE('',#16391,#3030)!#2345=LINE('',#16395,#3031)!#2346=LINE('',#16396,#3032)!#2347=LINE('',#16398,#3033)!#2348=LINE('',#16399,#3034)!#2349=LINE('',#16402,#3035)!#2350=LINE('',#16403,#3036)!#2351=LINE('',#16406,#3037)!#2352=LINE('',#16408,#3038)!#2353=LINE('',#16409,#3039)!#2354=LINE('',#16417,#3040)!#2355=LINE('',#16419,#3041)!#2356=LINE('',#16421,#3042)!#2357=LINE('',#16423,#3043)!#2358=LINE('',#16425,#3044)!#2359=LINE('',#16426,#3045)!#2360=LINE('',#16429,#3046)!#2361=LINE('',#16430,#3047)!#2362=LINE('',#16431,#3048)!#2363=LINE('',#16434,#3049)!#2364=LINE('',#16435,#3050)!#2365=LINE('',#16437,#3051)!#2366=LINE('',#16438,#3052)!#2367=LINE('',#16440,#3053)!#2368=LINE('',#16441,#3054)!#2369=LINE('',#16443,#3055)!#2370=LINE('',#16445,#3056)!#2371=LINE('',#16447,#3057)!#2372=LINE('',#16449,#3058)!#2373=LINE('',#16456,#3059)!#2374=LINE('',#16475,#3060)!#2375=LINE('',#16477,#3061)!#2376=LINE('',#16486,#3062)!#2377=LINE('',#16495,#3063)!#2378=LINE('',#16507,#3064)!#2379=LINE('',#16516,#3065)!#2380=LINE('',#16541,#3066)!#2381=LINE('',#16552,#3067)!#2382=LINE('',#16556,#3068)!#2383=LINE('',#16560,#3069)!#2384=LINE('',#16567,#3070)!#2385=LINE('',#16641,#3071)!#2386=LINE('',#16645,#3072)!#2387=LINE('',#16654,#3073)!#2388=LINE('',#16656,#3074)!#2389=LINE('',#16657,#3075)!#2390=LINE('',#16660,#3076)!#2391=LINE('',#16662,#3077)!#2392=LINE('',#16663,#3078)!#2393=LINE('',#16669,#3079)!#2394=LINE('',#16672,#3080)!#2395=LINE('',#16675,#3081)!#2396=LINE('',#16677,#3082)!#2397=LINE('',#16678,#3083)!#2398=LINE('',#16683,#3084)!#2399=LINE('',#16687,#3085)!#2400=LINE('',#16689,#3086)!#2401=LINE('',#16690,#3087)!#2402=LINE('',#16695,#3088)!#2403=LINE('',#16701,#3089)!#2404=LINE('',#16703,#3090)!#2405=LINE('',#16706,#3091)!#2406=LINE('',#16709,#3092)!#2407=LINE('',#16711,#3093)!#2408=LINE('',#16713,#3094)!#2409=LINE('',#16715,#3095)!#2410=LINE('',#16717,#3096)!#2411=LINE('',#16718,#3097)!#2412=LINE('',#16720,#3098)!#2413=LINE('',#16721,#3099)!#2414=LINE('',#16726,#3100)!#2415=LINE('',#16730,#3101)!#2416=LINE('',#16732,#3102)!#2417=LINE('',#16733,#3103)!#2418=LINE('',#16738,#3104)!#2419=LINE('',#16743,#3105)!#2420=LINE('',#16745,#3106)!#2421=LINE('',#16747,#3107)!#2422=LINE('',#16748,#3108)!#2423=LINE('',#16754,#3109)!#2424=LINE('',#16757,#3110)!#2425=LINE('',#16760,#3111)!#2426=LINE('',#16762,#3112)!#2427=LINE('',#16763,#3113)!#2428=LINE('',#16774,#3114)!#2429=LINE('',#16776,#3115)!#2430=LINE('',#16780,#3116)!#2431=LINE('',#16782,#3117)!#2432=LINE('',#16784,#3118)!#2433=LINE('',#16786,#3119)!#2434=LINE('',#16788,#3120)!#2435=LINE('',#16790,#3121)!#2436=LINE('',#16791,#3122)!#2437=LINE('',#16794,#3123)!#2438=LINE('',#16795,#3124)!#2439=LINE('',#16811,#3125)!#2440=LINE('',#16828,#3126)!#2441=LINE('',#16832,#3127)!#2442=LINE('',#16835,#3128)!#2443=LINE('',#16838,#3129)!#2444=LINE('',#16840,#3130)!#2445=LINE('',#16841,#3131)!#2446=LINE('',#16844,#3132)!#2447=LINE('',#16857,#3133)!#2448=LINE('',#16871,#3134)!#2449=LINE('',#16883,#3135)!#2450=LINE('',#16894,#3136)!#2451=LINE('',#16930,#3137)!#2452=LINE('',#16966,#3138)!#2453=LINE('',#16981,#3139)!#2454=LINE('',#16986,#3140)!#2455=LINE('',#16987,#3141)!#2456=LINE('',#16990,#3142)!#2457=LINE('',#16992,#3143)!#2458=LINE('',#16994,#3144)!#2459=LINE('',#16996,#3145)!#2460=LINE('',#16998,#3146)!#2461=LINE('',#16999,#3147)!#2462=LINE('',#17001,#3148)!#2463=LINE('',#17003,#3149)!#2464=LINE('',#17006,#3150)!#2465=LINE('',#17010,#3151)!#2466=LINE('',#17012,#3152)!#2467=LINE('',#17016,#3153)!#2468=LINE('',#17017,#3154)!#2469=LINE('',#17023,#3155)!#2470=LINE('',#17025,#3156)!#2471=LINE('',#17032,#3157)!#2472=LINE('',#17036,#3158)!#2473=LINE('',#17040,#3159)!#2474=LINE('',#17043,#3160)!#2475=LINE('',#17047,#3161)!#2476=LINE('',#17049,#3162)!#2477=LINE('',#17051,#3163)!#2478=LINE('',#17052,#3164)!#2479=LINE('',#17053,#3165)!#2480=LINE('',#17054,#3166)!#2481=LINE('',#17059,#3167)!#2482=LINE('',#17064,#3168)!#2483=LINE('',#17068,#3169)!#2484=LINE('',#17070,#3170)!#2485=LINE('',#17071,#3171)!#2486=LINE('',#17129,#3172)!#2487=LINE('',#17131,#3173)!#2488=LINE('',#17134,#3174)!#2489=LINE('',#17136,#3175)!#2490=LINE('',#17155,#3176)!#2491=LINE('',#17161,#3177)!#2492=LINE('',#17177,#3178)!#2493=LINE('',#17193,#3179)!#2494=LINE('',#17198,#3180)!#2495=LINE('',#17204,#3181)!#2496=LINE('',#17211,#3182)!#2497=LINE('',#17229,#3183)!#2498=LINE('',#17231,#3184)!#2499=LINE('',#17249,#3185)!#2500=LINE('',#17251,#3186)!#2501=LINE('',#17270,#3187)!#2502=LINE('',#17272,#3188)!#2503=LINE('',#17281,#3189)!#2504=LINE('',#17290,#3190)!#2505=LINE('',#17302,#3191)!#2506=LINE('',#17311,#3192)!#2507=LINE('',#17329,#3193)!#2508=LINE('',#17348,#3194)!#2509=LINE('',#17350,#3195)!#2510=LINE('',#17359,#3196)!#2511=LINE('',#17368,#3197)!#2512=LINE('',#17380,#3198)!#2513=LINE('',#17389,#3199)!#2514=LINE('',#17407,#3200)!#2515=VECTOR('',#10616,0.2271)!#2516=VECTOR('',#10647,0.393700787401575)!#2517=VECTOR('',#10648,0.393700787401575)!#2518=VECTOR('',#10649,0.393700787401575)!#2519=VECTOR('',#10652,0.393700787401575)!#2520=VECTOR('',#10653,0.393700787401575)!#2521=VECTOR('',#10656,0.393700787401575)!#2522=VECTOR('',#10657,0.393700787401575)!#2523=VECTOR('',#10660,0.393700787401575)!#2524=VECTOR('',#10661,0.393700787401575)!#2525=VECTOR('',#10664,0.393700787401575)!#2526=VECTOR('',#10665,0.393700787401575)!#2527=VECTOR('',#10668,0.393700787401575)!#2528=VECTOR('',#10675,0.2271)!#2529=VECTOR('',#10708,0.393700787401575)!#2530=VECTOR('',#10709,0.393700787401575)!#2531=VECTOR('',#10710,0.393700787401575)!#2532=VECTOR('',#10713,0.393700787401575)!#2533=VECTOR('',#10714,0.393700787401575)!#2534=VECTOR('',#10717,0.393700787401575)!#2535=VECTOR('',#10718,0.393700787401575)!#2536=VECTOR('',#10721,0.393700787401575)!#2537=VECTOR('',#10722,0.393700787401575)!#2538=VECTOR('',#10725,0.393700787401575)!#2539=VECTOR('',#10726,0.393700787401575)!#2540=VECTOR('',#10729,0.393700787401575)!#2541=VECTOR('',#10734,0.393700787401575)!#2542=VECTOR('',#10735,11.8110236220472)!#2543=VECTOR('',#10736,11.8110236220472)!#2544=VECTOR('',#10737,11.8110236220472)!#2545=VECTOR('',#10738,11.8110236220472)!#2546=VECTOR('',#10741,0.393700787401575)!#2547=VECTOR('',#10742,11.8110236220472)!#2548=VECTOR('',#10743,11.8110236220472)!#2549=VECTOR('',#10746,0.393700787401575)!#2550=VECTOR('',#10747,11.8110236220472)!#2551=VECTOR('',#10748,11.8110236220472)!#2552=VECTOR('',#10751,0.393700787401575)!#2553=VECTOR('',#10752,11.8110236220472)!#2554=VECTOR('',#10753,11.8110236220472)!#2555=VECTOR('',#10756,0.393700787401575)!#2556=VECTOR('',#10757,11.8110236220472)!#2557=VECTOR('',#10758,11.8110236220472)!#2558=VECTOR('',#10761,0.393700787401575)!#2559=VECTOR('',#10762,11.8110236220472)!#2560=VECTOR('',#10763,11.8110236220472)!#2561=VECTOR('',#10766,0.393700787401575)!#2562=VECTOR('',#10767,11.8110236220472)!#2563=VECTOR('',#10768,11.8110236220472)!#2564=VECTOR('',#10771,0.393700787401575)!#2565=VECTOR('',#10772,11.8110236220472)!#2566=VECTOR('',#10773,11.8110236220472)!#2567=VECTOR('',#10776,0.393700787401575)!#2568=VECTOR('',#10777,11.8110236220472)!#2569=VECTOR('',#10778,11.8110236220472)!#2570=VECTOR('',#10781,0.393700787401575)!#2571=VECTOR('',#10782,11.8110236220472)!#2572=VECTOR('',#10783,11.8110236220472)!#2573=VECTOR('',#10786,0.393700787401575)!#2574=VECTOR('',#10787,11.8110236220472)!#2575=VECTOR('',#10792,0.393700787401575)!#2576=VECTOR('',#10793,11.8110236220472)!#2577=VECTOR('',#10794,11.8110236220472)!#2578=VECTOR('',#10795,11.8110236220472)!#2579=VECTOR('',#10798,0.393700787401575)!#2580=VECTOR('',#10799,11.8110236220472)!#2581=VECTOR('',#10800,11.8110236220472)!#2582=VECTOR('',#10803,0.393700787401575)!#2583=VECTOR('',#10804,11.8110236220472)!#2584=VECTOR('',#10805,11.8110236220472)!#2585=VECTOR('',#10808,0.393700787401575)!#2586=VECTOR('',#10809,11.8110236220472)!#2587=VECTOR('',#10810,11.8110236220472)!#2588=VECTOR('',#10813,0.393700787401575)!#2589=VECTOR('',#10814,11.8110236220472)!#2590=VECTOR('',#10815,11.8110236220472)!#2591=VECTOR('',#10818,0.393700787401575)!#2592=VECTOR('',#10819,11.8110236220472)!#2593=VECTOR('',#10820,11.8110236220472)!#2594=VECTOR('',#10823,0.393700787401575)!#2595=VECTOR('',#10824,11.8110236220472)!#2596=VECTOR('',#10825,11.8110236220472)!#2597=VECTOR('',#10828,0.393700787401575)!#2598=VECTOR('',#10829,11.8110236220472)!#2599=VECTOR('',#10830,11.8110236220472)!#2600=VECTOR('',#10833,0.393700787401575)!#2601=VECTOR('',#10834,11.8110236220472)!#2602=VECTOR('',#10835,11.8110236220472)!#2603=VECTOR('',#10838,0.393700787401575)!#2604=VECTOR('',#10839,11.8110236220472)!#2605=VECTOR('',#10840,11.8110236220472)!#2606=VECTOR('',#10843,0.393700787401575)!#2607=VECTOR('',#10844,11.8110236220472)!#2608=VECTOR('',#10849,11.8110236220472)!#2609=VECTOR('',#10850,11.8110236220472)!#2610=VECTOR('',#10851,11.8110236220472)!#2611=VECTOR('',#10854,11.8110236220472)!#2612=VECTOR('',#10855,11.8110236220472)!#2613=VECTOR('',#10858,11.8110236220472)!#2614=VECTOR('',#10861,11.8110236220472)!#2615=VECTOR('',#10864,0.393700787401575)!#2616=VECTOR('',#10865,11.8110236220472)!#2617=VECTOR('',#10866,11.8110236220472)!#2618=VECTOR('',#10869,0.393700787401575)!#2619=VECTOR('',#10870,11.8110236220472)!#2620=VECTOR('',#10871,11.8110236220472)!#2621=VECTOR('',#10874,0.393700787401575)!#2622=VECTOR('',#10875,11.8110236220472)!#2623=VECTOR('',#10876,11.8110236220472)!#2624=VECTOR('',#10879,0.393700787401575)!#2625=VECTOR('',#10880,11.8110236220472)!#2626=VECTOR('',#10881,11.8110236220472)!#2627=VECTOR('',#10884,0.393700787401575)!#2628=VECTOR('',#10885,11.8110236220472)!#2629=VECTOR('',#10886,11.8110236220472)!#2630=VECTOR('',#10889,0.393700787401575)!#2631=VECTOR('',#10890,11.8110236220472)!#2632=VECTOR('',#10891,11.8110236220472)!#2633=VECTOR('',#10894,0.393700787401575)!#2634=VECTOR('',#10895,11.8110236220472)!#2635=VECTOR('',#10896,11.8110236220472)!#2636=VECTOR('',#10899,0.393700787401575)!#2637=VECTOR('',#10900,11.8110236220472)!#2638=VECTOR('',#10901,11.8110236220472)!#2639=VECTOR('',#10904,0.393700787401575)!#2640=VECTOR('',#10905,11.8110236220472)!#2641=VECTOR('',#10906,11.8110236220472)!#2642=VECTOR('',#10909,0.393700787401575)!#2643=VECTOR('',#10910,11.8110236220472)!#2644=VECTOR('',#10911,11.8110236220472)!#2645=VECTOR('',#10914,0.393700787401575)!#2646=VECTOR('',#10915,11.8110236220472)!#2647=VECTOR('',#10916,11.8110236220472)!#2648=VECTOR('',#10917,11.8110236220472)!#2649=VECTOR('',#10918,11.8110236220472)!#2650=VECTOR('',#10919,11.8110236220472)!#2651=VECTOR('',#10922,11.8110236220472)!#2652=VECTOR('',#10923,11.8110236220472)!#2653=VECTOR('',#10924,11.8110236220472)!#2654=VECTOR('',#10925,11.8110236220472)!#2655=VECTOR('',#10926,11.8110236220472)!#2656=VECTOR('',#10931,0.393700787401575)!#2657=VECTOR('',#10932,11.8110236220472)!#2658=VECTOR('',#10933,11.8110236220472)!#2659=VECTOR('',#10936,0.393700787401575)!#2660=VECTOR('',#10937,11.8110236220472)!#2661=VECTOR('',#10938,11.8110236220472)!#2662=VECTOR('',#10941,0.393700787401575)!#2663=VECTOR('',#10942,11.8110236220472)!#2664=VECTOR('',#10943,11.8110236220472)!#2665=VECTOR('',#10946,0.393700787401575)!#2666=VECTOR('',#10947,11.8110236220472)!#2667=VECTOR('',#10948,11.8110236220472)!#2668=VECTOR('',#10951,0.393700787401575)!#2669=VECTOR('',#10952,11.8110236220472)!#2670=VECTOR('',#10953,11.8110236220472)!#2671=VECTOR('',#10956,0.393700787401575)!#2672=VECTOR('',#10957,11.8110236220472)!#2673=VECTOR('',#10958,11.8110236220472)!#2674=VECTOR('',#10959,11.8110236220472)!#2675=VECTOR('',#10960,11.8110236220472)!#2676=VECTOR('',#10961,11.8110236220472)!#2677=VECTOR('',#10962,11.8110236220472)!#2678=VECTOR('',#10963,11.8110236220472)!#2679=VECTOR('',#10964,11.8110236220472)!#2680=VECTOR('',#10967,0.393700787401575)!#2681=VECTOR('',#10968,11.8110236220472)!#2682=VECTOR('',#10969,11.8110236220472)!#2683=VECTOR('',#10972,0.393700787401575)!#2684=VECTOR('',#10973,11.8110236220472)!#2685=VECTOR('',#10974,11.8110236220472)!#2686=VECTOR('',#10977,0.393700787401575)!#2687=VECTOR('',#10978,11.8110236220472)!#2688=VECTOR('',#10979,11.8110236220472)!#2689=VECTOR('',#10982,0.393700787401575)!#2690=VECTOR('',#10983,11.8110236220472)!#2691=VECTOR('',#10984,11.8110236220472)!#2692=VECTOR('',#10987,0.393700787401575)!#2693=VECTOR('',#10988,11.8110236220472)!#2694=VECTOR('',#10989,11.8110236220472)!#2695=VECTOR('',#10992,0.393700787401575)!#2696=VECTOR('',#10993,11.8110236220472)!#2697=VECTOR('',#10994,11.8110236220472)!#2698=VECTOR('',#10997,0.393700787401575)!#2699=VECTOR('',#10998,11.8110236220472)!#2700=VECTOR('',#10999,11.8110236220472)!#2701=VECTOR('',#11000,11.8110236220472)!#2702=VECTOR('',#11001,11.8110236220472)!#2703=VECTOR('',#11002,11.8110236220472)!#2704=VECTOR('',#11007,0.125)!#2705=VECTOR('',#11026,0.393700787401575)!#2706=VECTOR('',#11027,0.393700787401575)!#2707=VECTOR('',#11028,0.393700787401575)!#2708=VECTOR('',#11031,0.393700787401575)!#2709=VECTOR('',#11032,0.393700787401575)!#2710=VECTOR('',#11035,0.393700787401575)!#2711=VECTOR('',#11036,0.393700787401575)!#2712=VECTOR('',#11039,0.393700787401575)!#2713=VECTOR('',#11044,0.393700787401575)!#2714=VECTOR('',#11045,0.393700787401575)!#2715=VECTOR('',#11046,0.393700787401575)!#2716=VECTOR('',#11047,0.393700787401575)!#2717=VECTOR('',#11048,0.393700787401575)!#2718=VECTOR('',#11049,0.393700787401575)!#2719=VECTOR('',#11050,0.393700787401575)!#2720=VECTOR('',#11051,0.393700787401575)!#2721=VECTOR('',#11054,0.125)!#2722=VECTOR('',#11073,0.393700787401575)!#2723=VECTOR('',#11074,0.393700787401575)!#2724=VECTOR('',#11077,0.393700787401575)!#2725=VECTOR('',#11080,0.393700787401575)!#2726=VECTOR('',#11099,0.485)!#2727=VECTOR('',#11106,0.5)!#2728=VECTOR('',#11109,0.393700787401575)!#2729=VECTOR('',#11112,0.393700787401575)!#2730=VECTOR('',#11115,0.393700787401575)!#2731=VECTOR('',#11116,0.393700787401575)!#2732=VECTOR('',#11117,0.393700787401575)!#2733=VECTOR('',#11118,0.393700787401575)!#2734=VECTOR('',#11119,0.393700787401575)!#2735=VECTOR('',#11126,0.501)!#2736=VECTOR('',#11131,0.501)!#2737=VECTOR('',#11140,0.2085)!#2738=VECTOR('',#11147,0.2085)!#2739=VECTOR('',#11152,0.393700787401575)!#2740=VECTOR('',#11153,0.393700787401575)!#2741=VECTOR('',#11156,0.393700787401575)!#2742=VECTOR('',#11157,0.393700787401575)!#2743=VECTOR('',#11158,0.393700787401575)!#2744=VECTOR('',#11161,0.393700787401575)!#2745=VECTOR('',#11166,0.393700787401575)!#2746=VECTOR('',#11167,0.393700787401575)!#2747=VECTOR('',#11168,0.393700787401575)!#2748=VECTOR('',#11171,0.125)!#2749=VECTOR('',#11190,0.393700787401575)!#2750=VECTOR('',#11191,0.393700787401575)!#2751=VECTOR('',#11192,0.393700787401575)!#2752=VECTOR('',#11195,0.393700787401575)!#2753=VECTOR('',#11196,0.393700787401575)!#2754=VECTOR('',#11199,0.393700787401575)!#2755=VECTOR('',#11200,0.393700787401575)!#2756=VECTOR('',#11203,0.393700787401575)!#2757=VECTOR('',#11208,0.393700787401575)!#2758=VECTOR('',#11209,0.393700787401575)!#2759=VECTOR('',#11210,0.393700787401575)!#2760=VECTOR('',#11211,0.393700787401575)!#2761=VECTOR('',#11212,0.393700787401575)!#2762=VECTOR('',#11213,0.393700787401575)!#2763=VECTOR('',#11214,0.393700787401575)!#2764=VECTOR('',#11215,0.393700787401575)!#2765=VECTOR('',#11218,0.125)!#2766=VECTOR('',#11237,0.393700787401575)!#2767=VECTOR('',#11238,0.393700787401575)!#2768=VECTOR('',#11241,0.393700787401575)!#2769=VECTOR('',#11244,0.393700787401575)!#2770=VECTOR('',#11263,0.485)!#2771=VECTOR('',#11270,0.5)!#2772=VECTOR('',#11275,0.501)!#2773=VECTOR('',#11282,0.501)!#2774=VECTOR('',#11291,0.2085)!#2775=VECTOR('',#11296,0.2085)!#2776=VECTOR('',#11301,0.393700787401575)!#2777=VECTOR('',#11302,0.393700787401575)!#2778=VECTOR('',#11303,0.393700787401575)!#2779=VECTOR('',#11306,0.393700787401575)!#2780=VECTOR('',#11307,0.393700787401575)!#2781=VECTOR('',#11310,0.393700787401575)!#2782=VECTOR('',#11311,0.393700787401575)!#2783=VECTOR('',#11312,0.393700787401575)!#2784=VECTOR('',#11313,0.393700787401575)!#2785=VECTOR('',#11316,0.393700787401575)!#2786=VECTOR('',#11319,0.393700787401575)!#2787=VECTOR('',#11320,0.393700787401575)!#2788=VECTOR('',#11323,0.393700787401575)!#2789=VECTOR('',#11348,1.13)!#2790=VECTOR('',#11359,1.0025)!#2791=VECTOR('',#11378,1.15947223649159)!#2792=VECTOR('',#11411,1.05852776350841)!#2793=VECTOR('',#11420,1.2575)!#2794=VECTOR('',#11423,0.935)!#2795=VECTOR('',#11454,1.38875)!#2796=VECTOR('',#11461,1.2525)!#2797=VECTOR('',#11480,1.44097223649159)!#2798=VECTOR('',#11513,1.34002776350841)!#2799=VECTOR('',#11522,1.525)!#2800=VECTOR('',#11525,1.185)!#2801=VECTOR('',#11552,0.393700787401575)!#2802=VECTOR('',#11553,0.393700787401575)!#2803=VECTOR('',#11554,0.393700787401575)!#2804=VECTOR('',#11557,0.393700787401575)!#2805=VECTOR('',#11558,0.393700787401575)!#2806=VECTOR('',#11561,0.393700787401575)!#2807=VECTOR('',#11562,0.393700787401575)!#2808=VECTOR('',#11565,0.393700787401575)!#2809=VECTOR('',#11566,0.393700787401575)!#2810=VECTOR('',#11569,0.393700787401575)!#2811=VECTOR('',#11570,0.393700787401575)!#2812=VECTOR('',#11573,0.393700787401575)!#2813=VECTOR('',#11578,0.393700787401575)!#2814=VECTOR('',#11579,0.393700787401575)!#2815=VECTOR('',#11580,0.393700787401575)!#2816=VECTOR('',#11583,0.393700787401575)!#2817=VECTOR('',#11584,0.393700787401575)!#2818=VECTOR('',#11585,0.393700787401575)!#2819=VECTOR('',#11586,0.393700787401575)!#2820=VECTOR('',#11587,0.393700787401575)!#2821=VECTOR('',#11594,0.393700787401575)!#2822=VECTOR('',#11595,0.393700787401575)!#2823=VECTOR('',#11596,0.393700787401575)!#2824=VECTOR('',#11599,0.393700787401575)!#2825=VECTOR('',#11600,0.393700787401575)!#2826=VECTOR('',#11601,0.393700787401575)!#2827=VECTOR('',#11602,0.393700787401575)!#2828=VECTOR('',#11605,0.196850393700787)!#2829=VECTOR('',#11608,0.393700787401575)!#2830=VECTOR('',#11609,0.393700787401575)!#2831=VECTOR('',#11610,0.393700787401575)!#2832=VECTOR('',#11611,0.393700787401575)!#2833=VECTOR('',#11614,0.393700787401575)!#2834=VECTOR('',#11615,0.393700787401575)!#2835=VECTOR('',#11616,0.393700787401575)!#2836=VECTOR('',#11619,0.393700787401575)!#2837=VECTOR('',#11620,0.393700787401575)!#2838=VECTOR('',#11621,0.393700787401575)!#2839=VECTOR('',#11624,0.393700787401575)!#2840=VECTOR('',#11625,0.393700787401575)!#2841=VECTOR('',#11626,0.393700787401575)!#2842=VECTOR('',#11629,0.393700787401575)!#2843=VECTOR('',#11630,0.393700787401575)!#2844=VECTOR('',#11631,0.393700787401575)!#2845=VECTOR('',#11634,0.393700787401575)!#2846=VECTOR('',#11635,0.393700787401575)!#2847=VECTOR('',#11638,0.393700787401575)!#2848=VECTOR('',#11639,0.393700787401575)!#2849=VECTOR('',#11642,0.393700787401575)!#2850=VECTOR('',#11643,0.393700787401575)!#2851=VECTOR('',#11646,0.393700787401575)!#2852=VECTOR('',#11647,0.393700787401575)!#2853=VECTOR('',#11652,0.393700787401575)!#2854=VECTOR('',#11653,0.393700787401575)!#2855=VECTOR('',#11656,0.393700787401575)!#2856=VECTOR('',#11657,0.393700787401575)!#2857=VECTOR('',#11662,0.393700787401575)!#2858=VECTOR('',#11663,0.393700787401575)!#2859=VECTOR('',#11664,0.393700787401575)!#2860=VECTOR('',#11667,0.393700787401575)!#2861=VECTOR('',#11668,0.393700787401575)!#2862=VECTOR('',#11669,0.393700787401575)!#2863=VECTOR('',#11672,0.393700787401575)!#2864=VECTOR('',#11673,0.393700787401575)!#2865=VECTOR('',#11676,0.393700787401575)!#2866=VECTOR('',#11677,0.393700787401575)!#2867=VECTOR('',#11682,0.393700787401575)!#2868=VECTOR('',#11685,0.393700787401575)!#2869=VECTOR('',#11690,0.393700787401575)!#2870=VECTOR('',#11693,0.393700787401575)!#2871=VECTOR('',#11696,0.393700787401575)!#2872=VECTOR('',#11697,0.393700787401575)!#2873=VECTOR('',#11702,0.393700787401575)!#2874=VECTOR('',#11707,0.393700787401575)!#2875=VECTOR('',#11710,0.393700787401575)!#2876=VECTOR('',#11713,0.393700787401575)!#2877=VECTOR('',#11716,0.393700787401575)!#2878=VECTOR('',#11719,0.393700787401575)!#2879=VECTOR('',#11724,0.393700787401575)!#2880=VECTOR('',#11727,0.393700787401575)!#2881=VECTOR('',#11732,0.393700787401575)!#2882=VECTOR('',#11735,0.393700787401575)!#2883=VECTOR('',#11736,0.393700787401575)!#2884=VECTOR('',#11743,0.393700787401575)!#2885=VECTOR('',#11744,0.393700787401575)!#2886=VECTOR('',#11749,0.393700787401575)!#2887=VECTOR('',#11750,0.393700787401575)!#2888=VECTOR('',#11751,0.393700787401575)!#2889=VECTOR('',#11754,0.393700787401575)!#2890=VECTOR('',#11755,0.393700787401575)!#2891=VECTOR('',#11760,0.393700787401575)!#2892=VECTOR('',#11769,0.393700787401575)!#2893=VECTOR('',#11772,0.393700787401575)!#2894=VECTOR('',#11775,0.393700787401575)!#2895=VECTOR('',#11782,0.393700787401575)!#2896=VECTOR('',#11789,0.393700787401575)!#2897=VECTOR('',#11794,0.393700787401575)!#2898=VECTOR('',#11797,0.393700787401575)!#2899=VECTOR('',#11800,0.393700787401575)!#2900=VECTOR('',#11803,0.393700787401575)!#2901=VECTOR('',#11810,0.393700787401575)!#2902=VECTOR('',#11811,0.393700787401575)!#2903=VECTOR('',#11820,0.393700787401575)!#2904=VECTOR('',#11821,0.393700787401575)!#2905=VECTOR('',#11826,0.393700787401575)!#2906=VECTOR('',#11827,0.393700787401575)!#2907=VECTOR('',#11830,0.393700787401575)!#2908=VECTOR('',#11831,0.393700787401575)!#2909=VECTOR('',#11832,0.393700787401575)!#2910=VECTOR('',#11835,0.393700787401575)!#2911=VECTOR('',#11842,0.393700787401575)!#2912=VECTOR('',#11845,0.393700787401575)!#2913=VECTOR('',#11852,0.393700787401575)!#2914=VECTOR('',#11857,0.393700787401575)!#2915=VECTOR('',#11858,0.393700787401575)!#2916=VECTOR('',#11859,0.393700787401575)!#2917=VECTOR('',#11860,0.393700787401575)!#2918=VECTOR('',#11861,0.393700787401575)!#2919=VECTOR('',#11862,0.393700787401575)!#2920=VECTOR('',#11867,0.393700787401575)!#2921=VECTOR('',#11878,0.393700787401575)!#2922=VECTOR('',#11883,0.393700787401575)!#2923=VECTOR('',#11884,0.393700787401575)!#2924=VECTOR('',#11885,0.393700787401575)!#2925=VECTOR('',#11886,0.393700787401575)!#2926=VECTOR('',#11901,0.393700787401575)!#2927=VECTOR('',#11902,0.393700787401575)!#2928=VECTOR('',#11903,0.393700787401575)!#2929=VECTOR('',#11904,0.393700787401575)!#2930=VECTOR('',#11905,0.393700787401575)!#2931=VECTOR('',#11906,0.393700787401575)!#2932=VECTOR('',#11911,0.393700787401575)!#2933=VECTOR('',#11920,0.393700787401575)!#2934=VECTOR('',#11923,0.393700787401575)!#2935=VECTOR('',#11924,0.393700787401575)!#2936=VECTOR('',#11925,0.393700787401575)!#2937=VECTOR('',#11926,0.393700787401575)!#2938=VECTOR('',#11953,4.61562873169337)!#2939=VECTOR('',#12002,4.50684801456783)!#2940=VECTOR('',#12019,3.46405392854376)!#2941=VECTOR('',#12038,3.11023622047244)!#2942=VECTOR('',#12059,0.393700787401575)!#2943=VECTOR('',#12060,4.13385826771654)!#2944=VECTOR('',#12069,0.875984251968504)!#2945=VECTOR('',#12080,0.748031496062992)!#2946=VECTOR('',#12083,1.25)!#2947=VECTOR('',#12120,1.93051181102362)!#2948=VECTOR('',#12139,3.64173228346457)!#2949=VECTOR('',#12156,4.53792094262479)!#2950=VECTOR('',#12161,4.33070866141732)!#2951=VECTOR('',#12174,0.393700787401575)!#2952=VECTOR('',#12177,3.74015748031496)!#2953=VECTOR('',#12180,0.393700787401575)!#2954=VECTOR('',#12181,0.393700787401575)!#2955=VECTOR('',#12184,0.393700787401575)!#2956=VECTOR('',#12187,0.393700787401575)!#2957=VECTOR('',#12188,0.393700787401575)!#2958=VECTOR('',#12189,0.393700787401575)!#2959=VECTOR('',#12190,0.393700787401575)!#2960=VECTOR('',#12217,0.393700787401575)!#2961=VECTOR('',#12220,0.393700787401575)!#2962=VECTOR('',#12239,0.393700787401575)!#2963=VECTOR('',#12240,0.393700787401575)!#2964=VECTOR('',#12259,0.393700787401575)!#2965=VECTOR('',#12262,0.393700787401575)!#2966=VECTOR('',#12265,0.393700787401575)!#2967=VECTOR('',#12268,0.393700787401575)!#2968=VECTOR('',#12269,0.393700787401575)!#2969=VECTOR('',#12270,0.393700787401575)!#2970=VECTOR('',#12271,0.393700787401575)!#2971=VECTOR('',#12274,0.393700787401575)!#2972=VECTOR('',#12275,0.393700787401575)!#2973=VECTOR('',#12276,0.393700787401575)!#2974=VECTOR('',#12281,0.393700787401575)!#2975=VECTOR('',#12284,0.393700787401575)!#2976=VECTOR('',#12289,0.393700787401575)!#2977=VECTOR('',#12296,0.393700787401575)!#2978=VECTOR('',#12297,0.393700787401575)!#2979=VECTOR('',#12300,0.393700787401575)!#2980=VECTOR('',#12301,0.393700787401575)!#2981=VECTOR('',#12302,0.393700787401575)!#2982=VECTOR('',#12305,0.393700787401575)!#2983=VECTOR('',#12316,0.393700787401575)!#2984=VECTOR('',#12317,0.393700787401575)!#2985=VECTOR('',#12318,0.393700787401575)!#2986=VECTOR('',#12319,0.393700787401575)!#2987=VECTOR('',#12320,0.393700787401575)!#2988=VECTOR('',#12321,0.393700787401575)!#2989=VECTOR('',#12330,0.393700787401575)!#2990=VECTOR('',#12333,0.393700787401575)!#2991=VECTOR('',#12336,0.393700787401575)!#2992=VECTOR('',#12341,0.393700787401575)!#2993=VECTOR('',#12342,0.393700787401575)!#2994=VECTOR('',#12345,0.393700787401575)!#2995=VECTOR('',#12348,0.393700787401575)!#2996=VECTOR('',#12351,0.393700787401575)!#2997=VECTOR('',#12352,0.393700787401575)!#2998=VECTOR('',#12355,0.393700787401575)!#2999=VECTOR('',#12362,0.393700787401575)!#3000=VECTOR('',#12367,0.393700787401575)!#3001=VECTOR('',#12368,0.393700787401575)!#3002=VECTOR('',#12371,0.393700787401575)!#3003=VECTOR('',#12372,0.393700787401575)!#3004=VECTOR('',#12373,0.393700787401575)!#3005=VECTOR('',#12374,0.393700787401575)!#3006=VECTOR('',#12377,0.393700787401575)!#3007=VECTOR('',#12378,0.393700787401575)!#3008=VECTOR('',#12379,0.393700787401575)!#3009=VECTOR('',#12380,0.393700787401575)!#3010=VECTOR('',#12381,0.393700787401575)!#3011=VECTOR('',#12386,0.393700787401575)!#3012=VECTOR('',#12387,0.393700787401575)!#3013=VECTOR('',#12388,0.393700787401575)!#3014=VECTOR('',#12393,0.393700787401575)!#3015=VECTOR('',#12394,0.393700787401575)!#3016=VECTOR('',#12399,0.393700787401575)!#3017=VECTOR('',#12400,0.393700787401575)!#3018=VECTOR('',#12401,0.393700787401575)!#3019=VECTOR('',#12410,0.393700787401575)!#3020=VECTOR('',#12411,0.393700787401575)!#3021=VECTOR('',#12412,0.393700787401575)!#3022=VECTOR('',#12415,0.393700787401575)!#3023=VECTOR('',#12416,0.393700787401575)!#3024=VECTOR('',#12417,0.393700787401575)!#3025=VECTOR('',#12428,0.393700787401575)!#3026=VECTOR('',#12429,0.393700787401575)!#3027=VECTOR('',#12430,0.393700787401575)!#3028=VECTOR('',#12433,0.393700787401575)!#3029=VECTOR('',#12434,0.393700787401575)!#3030=VECTOR('',#12435,0.393700787401575)!#3031=VECTOR('',#12438,0.393700787401575)!#3032=VECTOR('',#12439,0.393700787401575)!#3033=VECTOR('',#12440,0.393700787401575)!#3034=VECTOR('',#12441,0.393700787401575)!#3035=VECTOR('',#12444,0.393700787401575)!#3036=VECTOR('',#12445,0.393700787401575)!#3037=VECTOR('',#12448,0.393700787401575)!#3038=VECTOR('',#12449,0.393700787401575)!#3039=VECTOR('',#12450,0.393700787401575)!#3040=VECTOR('',#12459,0.393700787401575)!#3041=VECTOR('',#12460,0.393700787401575)!#3042=VECTOR('',#12461,0.393700787401575)!#3043=VECTOR('',#12462,0.393700787401575)!#3044=VECTOR('',#12463,0.393700787401575)!#3045=VECTOR('',#12464,0.393700787401575)!#3046=VECTOR('',#12467,0.393700787401575)!#3047=VECTOR('',#12468,0.393700787401575)!#3048=VECTOR('',#12469,0.393700787401575)!#3049=VECTOR('',#12472,0.393700787401575)!#3050=VECTOR('',#12473,0.393700787401575)!#3051=VECTOR('',#12476,0.393700787401575)!#3052=VECTOR('',#12477,0.393700787401575)!#3053=VECTOR('',#12480,0.393700787401575)!#3054=VECTOR('',#12481,0.393700787401575)!#3055=VECTOR('',#12484,0.393700787401575)!#3056=VECTOR('',#12487,0.393700787401575)!#3057=VECTOR('',#12490,0.393700787401575)!#3058=VECTOR('',#12493,0.393700787401575)!#3059=VECTOR('',#12504,0.196850393700787)!#3060=VECTOR('',#12521,0.393700787401575)!#3061=VECTOR('',#12522,0.393700787401575)!#3062=VECTOR('',#12525,0.393700787401575)!#3063=VECTOR('',#12528,0.393700787401575)!#3064=VECTOR('',#12531,0.393700787401575)!#3065=VECTOR('',#12534,0.393700787401575)!#3066=VECTOR('',#12561,6.00000000000001)!#3067=VECTOR('',#12574,6.155)!#3068=VECTOR('',#12577,0.393700787401575)!#3069=VECTOR('',#12580,6.155)!#3070=VECTOR('',#12587,0.393700787401575)!#3071=VECTOR('',#12590,0.393700787401575)!#3072=VECTOR('',#12593,0.393700787401575)!#3073=VECTOR('',#12604,0.393700787401575)!#3074=VECTOR('',#12605,0.393700787401575)!#3075=VECTOR('',#12606,0.393700787401575)!#3076=VECTOR('',#12609,0.393700787401575)!#3077=VECTOR('',#12610,0.393700787401575)!#3078=VECTOR('',#12611,0.393700787401575)!#3079=VECTOR('',#12616,0.393700787401575)!#3080=VECTOR('',#12619,0.393700787401575)!#3081=VECTOR('',#12622,0.393700787401575)!#3082=VECTOR('',#12623,0.393700787401575)!#3083=VECTOR('',#12624,0.393700787401575)!#3084=VECTOR('',#12629,0.393700787401575)!#3085=VECTOR('',#12634,0.393700787401575)!#3086=VECTOR('',#12635,0.393700787401575)!#3087=VECTOR('',#12636,0.393700787401575)!#3088=VECTOR('',#12641,0.393700787401575)!#3089=VECTOR('',#12648,0.393700787401575)!#3090=VECTOR('',#12649,0.393700787401575)!#3091=VECTOR('',#12652,0.393700787401575)!#3092=VECTOR('',#12653,0.393700787401575)!#3093=VECTOR('',#12654,0.393700787401575)!#3094=VECTOR('',#12655,0.393700787401575)!#3095=VECTOR('',#12656,0.393700787401575)!#3096=VECTOR('',#12657,0.393700787401575)!#3097=VECTOR('',#12658,0.393700787401575)!#3098=VECTOR('',#12661,0.393700787401575)!#3099=VECTOR('',#12662,0.393700787401575)!#3100=VECTOR('',#12667,0.393700787401575)!#3101=VECTOR('',#12672,0.393700787401575)!#3102=VECTOR('',#12673,0.393700787401575)!#3103=VECTOR('',#12674,0.393700787401575)!#3104=VECTOR('',#12679,0.393700787401575)!#3105=VECTOR('',#12684,0.393700787401575)!#3106=VECTOR('',#12685,0.393700787401575)!#3107=VECTOR('',#12686,0.393700787401575)!#3108=VECTOR('',#12687,0.393700787401575)!#3109=VECTOR('',#12692,0.393700787401575)!#3110=VECTOR('',#12695,0.393700787401575)!#3111=VECTOR('',#12698,0.393700787401575)!#3112=VECTOR('',#12699,0.393700787401575)!#3113=VECTOR('',#12700,0.393700787401575)!#3114=VECTOR('',#12717,0.393700787401575)!#3115=VECTOR('',#12718,0.393700787401575)!#3116=VECTOR('',#12721,0.393700787401575)!#3117=VECTOR('',#12722,0.393700787401575)!#3118=VECTOR('',#12723,0.393700787401575)!#3119=VECTOR('',#12724,0.393700787401575)!#3120=VECTOR('',#12725,0.393700787401575)!#3121=VECTOR('',#12726,0.393700787401575)!#3122=VECTOR('',#12727,0.393700787401575)!#3123=VECTOR('',#12730,0.393700787401575)!#3124=VECTOR('',#12731,0.393700787401575)!#3125=VECTOR('',#12736,0.393700787401575)!#3126=VECTOR('',#12743,0.393700787401575)!#3127=VECTOR('',#12746,0.393700787401575)!#3128=VECTOR('',#12749,0.393700787401575)!#3129=VECTOR('',#12752,0.393700787401575)!#3130=VECTOR('',#12753,0.393700787401575)!#3131=VECTOR('',#12754,0.393700787401575)!#3132=VECTOR('',#12757,0.393700787401575)!#3133=VECTOR('',#12758,0.393700787401575)!#3134=VECTOR('',#12763,0.393700787401575)!#3135=VECTOR('',#12776,0.302666666666666)!#3136=VECTOR('',#12789,0.252222222222222)!#3137=VECTOR('',#12796,0.7491)!#3138=VECTOR('',#12811,6.25)!#3139=VECTOR('',#12830,0.393700787401575)!#3140=VECTOR('',#12837,0.393700787401575)!#3141=VECTOR('',#12838,0.393700787401575)!#3142=VECTOR('',#12839,0.393700787401575)!#3143=VECTOR('',#12840,0.393700787401575)!#3144=VECTOR('',#12841,0.393700787401575)!#3145=VECTOR('',#12842,0.393700787401575)!#3146=VECTOR('',#12843,0.393700787401575)!#3147=VECTOR('',#12844,0.393700787401575)!#3148=VECTOR('',#12847,0.393700787401575)!#3149=VECTOR('',#12850,0.393700787401575)!#3150=VECTOR('',#12855,0.393700787401575)!#3151=VECTOR('',#12860,0.393700787401575)!#3152=VECTOR('',#12861,0.393700787401575)!#3153=VECTOR('',#12866,0.393700787401575)!#3154=VECTOR('',#12867,0.393700787401575)!#3155=VECTOR('',#12876,0.393700787401575)!#3156=VECTOR('',#12879,0.393700787401575)!#3157=VECTOR('',#12886,0.393700787401575)!#3158=VECTOR('',#12889,0.393700787401575)!#3159=VECTOR('',#12892,0.393700787401575)!#3160=VECTOR('',#12895,0.393700787401575)!#3161=VECTOR('',#12898,0.393700787401575)!#3162=VECTOR('',#12899,0.393700787401575)!#3163=VECTOR('',#12900,0.393700787401575)!#3164=VECTOR('',#12901,0.393700787401575)!#3165=VECTOR('',#12902,0.393700787401575)!#3166=VECTOR('',#12903,0.393700787401575)!#3167=VECTOR('',#12908,0.393700787401575)!#3168=VECTOR('',#12913,0.393700787401575)!#3169=VECTOR('',#12918,0.393700787401575)!#3170=VECTOR('',#12919,0.393700787401575)!#3171=VECTOR('',#12920,0.393700787401575)!#3172=VECTOR('',#12963,0.393700787401575)!#3173=VECTOR('',#12966,0.393700787401575)!#3174=VECTOR('',#12971,0.393700787401575)!#3175=VECTOR('',#12974,0.393700787401575)!#3176=VECTOR('',#12997,4.42913385826772)!#3177=VECTOR('',#13004,4.35972321988234)!#3178=VECTOR('',#13023,4.21259842519685)!#3179=VECTOR('',#13042,4.3996062992126)!#3180=VECTOR('',#13049,4.52755905511811)!#3181=VECTOR('',#13056,4.52755905511811)!#3182=VECTOR('',#13065,4.25)!#3183=VECTOR('',#13086,0.485)!#3184=VECTOR('',#13089,0.5)!#3185=VECTOR('',#13110,0.485)!#3186=VECTOR('',#13113,0.5)!#3187=VECTOR('',#13130,0.393700787401575)!#3188=VECTOR('',#13131,0.393700787401575)!#3189=VECTOR('',#13134,0.393700787401575)!#3190=VECTOR('',#13137,0.393700787401575)!#3191=VECTOR('',#13140,0.393700787401575)!#3192=VECTOR('',#13143,0.393700787401575)!#3193=VECTOR('',#13164,0.2271)!#3194=VECTOR('',#13181,0.393700787401575)!#3195=VECTOR('',#13182,0.393700787401575)!#3196=VECTOR('',#13185,0.393700787401575)!#3197=VECTOR('',#13188,0.393700787401575)!#3198=VECTOR('',#13191,0.393700787401575)!#3199=VECTOR('',#13194,0.393700787401575)!#3200=VECTOR('',#13215,0.2271)!#3201=CIRCLE('',#9652,0.2042)!#3202=CIRCLE('',#9653,0.2085)!#3203=CIRCLE('',#9656,0.375000000000001)!#3204=CIRCLE('',#9658,0.375000000000001)!#3205=CIRCLE('',#9660,0.375000000000001)!#3206=CIRCLE('',#9662,0.375000000000001)!#3207=CIRCLE('',#9664,0.375000000000001)!#3208=CIRCLE('',#9666,0.375000000000001)!#3209=CIRCLE('',#9675,0.2042)!#3210=CIRCLE('',#9676,0.2085)!#3211=CIRCLE('',#9677,0.2085)!#3212=CIRCLE('',#9680,0.375000000000001)!#3213=CIRCLE('',#9682,0.375000000000001)!#3214=CIRCLE('',#9684,0.375000000000001)!#3215=CIRCLE('',#9686,0.375000000000001)!#3216=CIRCLE('',#9688,0.375000000000001)!#3217=CIRCLE('',#9690,0.375000000000001)!#3218=CIRCLE('',#9725,0.348476380796772)!#3219=CIRCLE('',#9755,0.1875)!#3220=CIRCLE('',#9757,0.1875)!#3221=CIRCLE('',#9759,0.1875)!#3222=CIRCLE('',#9761,0.1875)!#3223=CIRCLE('',#9770,0.1875)!#3224=CIRCLE('',#9772,0.1875)!#3225=CIRCLE('',#9774,0.1875)!#3226=CIRCLE('',#9776,0.1875)!#3227=CIRCLE('',#9783,0.5)!#3228=CIRCLE('',#9784,0.500000000000001)!#3229=CIRCLE('',#9785,0.5)!#3230=CIRCLE('',#9787,0.5)!#3231=CIRCLE('',#9788,0.5)!#3232=CIRCLE('',#9789,0.469999999999994)!#3233=CIRCLE('',#9790,0.469999999999994)!#3234=CIRCLE('',#9793,0.5)!#3235=CIRCLE('',#9794,0.5)!#3236=CIRCLE('',#9795,0.501)!#3237=CIRCLE('',#9797,0.501)!#3238=CIRCLE('',#9798,0.501)!#3239=CIRCLE('',#9800,0.501)!#3240=CIRCLE('',#9802,0.2085)!#3241=CIRCLE('',#9803,0.2085)!#3242=CIRCLE('',#9805,0.2085)!#3243=CIRCLE('',#9806,0.2085)!#3244=CIRCLE('',#9807,0.2085)!#3245=CIRCLE('',#9815,0.1875)!#3246=CIRCLE('',#9817,0.1875)!#3247=CIRCLE('',#9819,0.1875)!#3248=CIRCLE('',#9821,0.1875)!#3249=CIRCLE('',#9830,0.1875)!#3250=CIRCLE('',#9832,0.1875)!#3251=CIRCLE('',#9834,0.1875)!#3252=CIRCLE('',#9836,0.1875)!#3253=CIRCLE('',#9843,0.5)!#3254=CIRCLE('',#9844,0.500000000000001)!#3255=CIRCLE('',#9845,0.5)!#3256=CIRCLE('',#9847,0.5)!#3257=CIRCLE('',#9848,0.5)!#3258=CIRCLE('',#9849,0.469999999999986)!#3259=CIRCLE('',#9850,0.469999999999986)!#3260=CIRCLE('',#9853,0.501)!#3261=CIRCLE('',#9854,0.501)!#3262=CIRCLE('',#9856,0.501)!#3263=CIRCLE('',#9857,0.501)!#3264=CIRCLE('',#9859,0.2085)!#3265=CIRCLE('',#9860,0.2085)!#3266=CIRCLE('',#9861,0.2085)!#3267=CIRCLE('',#9863,0.2085)!#3268=CIRCLE('',#9869,0.5)!#3269=CIRCLE('',#9870,0.5)!#3270=CIRCLE('',#9873,0.935)!#3271=CIRCLE('',#9874,0.935)!#3272=CIRCLE('',#9876,1.03210909355034)!#3273=CIRCLE('',#9877,0.045)!#3274=CIRCLE('',#9878,1.0025)!#3275=CIRCLE('',#9879,1.0025)!#3276=CIRCLE('',#9880,1.03210909355034)!#3277=CIRCLE('',#9882,1.2575)!#3278=CIRCLE('',#9883,1.2575)!#3279=CIRCLE('',#9885,1.0025)!#3280=CIRCLE('',#9886,1.0025)!#3281=CIRCLE('',#9888,1.18719919726799)!#3282=CIRCLE('',#9889,0.031)!#3283=CIRCLE('',#9890,1.15866354681096)!#3284=CIRCLE('',#9891,1.15866354681096)!#3285=CIRCLE('',#9892,1.18719919726799)!#3286=CIRCLE('',#9894,1.15226372811226)!#3287=CIRCLE('',#9895,1.15226372811226)!#3288=CIRCLE('',#9896,1.15226372811226)!#3289=CIRCLE('',#9898,1.2575)!#3290=CIRCLE('',#9899,1.2575)!#3291=CIRCLE('',#9901,1.05933645318904)!#3292=CIRCLE('',#9902,0.031)!#3293=CIRCLE('',#9903,1.03080080273201)!#3294=CIRCLE('',#9904,1.03080080273201)!#3295=CIRCLE('',#9905,1.05933645318904)!#3296=CIRCLE('',#9907,0.935)!#3297=CIRCLE('',#9908,0.935)!#3298=CIRCLE('',#9910,1.06573627188774)!#3299=CIRCLE('',#9911,1.06573627188774)!#3300=CIRCLE('',#9912,1.06573627188774)!#3301=CIRCLE('',#9914,0.047)!#3302=CIRCLE('',#9918,1.2525)!#3303=CIRCLE('',#9919,1.2525)!#3304=CIRCLE('',#9920,1.25)!#3305=CIRCLE('',#9921,1.25)!#3306=CIRCLE('',#9923,1.185)!#3307=CIRCLE('',#9924,1.185)!#3308=CIRCLE('',#9926,1.28210909355035)!#3309=CIRCLE('',#9927,0.045)!#3310=CIRCLE('',#9928,1.2525)!#3311=CIRCLE('',#9929,1.2525)!#3312=CIRCLE('',#9930,1.28210909355035)!#3313=CIRCLE('',#9932,1.525)!#3314=CIRCLE('',#9933,1.525)!#3315=CIRCLE('',#9936,1.46869919726799)!#3316=CIRCLE('',#9937,0.031)!#3317=CIRCLE('',#9938,1.44016354681096)!#3318=CIRCLE('',#9939,1.44016354681096)!#3319=CIRCLE('',#9940,1.46869919726799)!#3320=CIRCLE('',#9942,1.43376372811226)!#3321=CIRCLE('',#9943,1.43376372811226)!#3322=CIRCLE('',#9944,1.43376372811226)!#3323=CIRCLE('',#9946,1.525)!#3324=CIRCLE('',#9947,1.525)!#3325=CIRCLE('',#9949,1.34083645318904)!#3326=CIRCLE('',#9950,0.031)!#3327=CIRCLE('',#9951,1.31230080273201)!#3328=CIRCLE('',#9952,1.31230080273201)!#3329=CIRCLE('',#9953,1.34083645318904)!#3330=CIRCLE('',#9955,1.185)!#3331=CIRCLE('',#9956,1.185)!#3332=CIRCLE('',#9958,1.34723627188774)!#3333=CIRCLE('',#9959,1.34723627188774)!#3334=CIRCLE('',#9960,1.34723627188774)!#3335=CIRCLE('',#9962,0.047)!#3336=CIRCLE('',#9966,0.250000000000001)!#3337=CIRCLE('',#9968,0.250000000000001)!#3338=CIRCLE('',#9970,0.250000000000001)!#3339=CIRCLE('',#9972,0.250000000000001)!#3340=CIRCLE('',#9974,0.250000000000001)!#3341=CIRCLE('',#9976,0.250000000000001)!#3342=CIRCLE('',#9986,0.216535433070866)!#3343=CIRCLE('',#9987,0.196850393700787)!#3344=CIRCLE('',#10009,4.90157480314961)!#3345=CIRCLE('',#10010,4.90157480314961)!#3346=CIRCLE('',#10012,4.90157480314961)!#3347=CIRCLE('',#10013,4.90157480314961)!#3348=CIRCLE('',#10016,0.433070866141732)!#3349=CIRCLE('',#10018,0.433070866141732)!#3350=CIRCLE('',#10020,0.433070866141732)!#3351=CIRCLE('',#10021,0.433070866141732)!#3352=CIRCLE('',#10024,0.191479136612826)!#3353=CIRCLE('',#10027,0.191479136612826)!#3354=CIRCLE('',#10031,4.90157480314961)!#3355=CIRCLE('',#10032,0.452755905511811)!#3356=CIRCLE('',#10036,0.452755905511811)!#3357=CIRCLE('',#10038,4.90157480314961)!#3358=CIRCLE('',#10040,4.90157480314961)!#3359=CIRCLE('',#10041,4.90157480314961)!#3360=CIRCLE('',#10042,4.90157480314961)!#3361=CIRCLE('',#10043,4.90157480314961)!#3362=CIRCLE('',#10045,4.90157480314961)!#3363=CIRCLE('',#10046,4.90157480314961)!#3364=CIRCLE('',#10048,4.90157480314961)!#3365=CIRCLE('',#10049,4.90157480314961)!#3366=CIRCLE('',#10051,4.90157480314961)!#3367=CIRCLE('',#10052,4.90157480314961)!#3368=CIRCLE('',#10053,4.90157480314961)!#3369=CIRCLE('',#10054,4.90157480314961)!#3370=CIRCLE('',#10056,0.452755905511811)!#3371=CIRCLE('',#10057,4.90157480314961)!#3372=CIRCLE('',#10059,0.452755905511811)!#3373=CIRCLE('',#10060,4.90157480314961)!#3374=CIRCLE('',#10062,0.452755905511811)!#3375=CIRCLE('',#10066,0.452755905511811)!#3376=CIRCLE('',#10071,4.90157480314961)!#3377=CIRCLE('',#10072,4.90157480314961)!#3378=CIRCLE('',#10075,4.54730002274414)!#3379=CIRCLE('',#10076,4.54730002274414)!#3380=CIRCLE('',#10077,4.54730002274414)!#3381=CIRCLE('',#10079,4.54730002274414)!#3382=CIRCLE('',#10080,4.54730002274414)!#3383=CIRCLE('',#10081,4.54730002274414)!#3384=CIRCLE('',#10084,4.82289057392525)!#3385=CIRCLE('',#10087,4.82289057392525)!#3386=CIRCLE('',#10091,4.54730002274414)!#3387=CIRCLE('',#10092,4.54730002274414)!#3388=CIRCLE('',#10094,4.54730002274414)!#3389=CIRCLE('',#10095,4.54730002274414)!#3390=CIRCLE('',#10098,4.82289057392525)!#3391=CIRCLE('',#10100,4.82289057392525)!#3392=CIRCLE('',#10104,4.7244094488189)!#3393=CIRCLE('',#10105,4.7244094488189)!#3394=CIRCLE('',#10107,4.7244094488189)!#3395=CIRCLE('',#10108,4.7244094488189)!#3396=CIRCLE('',#10110,4.50684801456783)!#3397=CIRCLE('',#10111,4.50684801456783)!#3398=CIRCLE('',#10112,4.50684801456783)!#3399=CIRCLE('',#10113,4.7244094488189)!#3400=CIRCLE('',#10114,4.7244094488189)!#3401=CIRCLE('',#10116,4.72350083423923)!#3402=CIRCLE('',#10118,4.72350083423923)!#3403=CIRCLE('',#10120,4.7244094488189)!#3404=CIRCLE('',#10122,4.7244094488189)!#3405=CIRCLE('',#10126,4.28928658031677)!#3406=CIRCLE('',#10127,0.236220472440945)!#3407=CIRCLE('',#10128,4.13385826771654)!#3408=CIRCLE('',#10129,4.13385826771654)!#3409=CIRCLE('',#10130,4.13385826771654)!#3410=CIRCLE('',#10131,4.28928658031677)!#3411=CIRCLE('',#10132,4.28928658031677)!#3412=CIRCLE('',#10134,4.33070866141732)!#3413=CIRCLE('',#10136,3.11023622047244)!#3414=CIRCLE('',#10137,3.30157480314961)!#3415=CIRCLE('',#10138,3.30157480314961)!#3416=CIRCLE('',#10140,3.74015748031496)!#3417=CIRCLE('',#10141,3.74015748031496)!#3418=CIRCLE('',#10142,3.18795037677256)!#3419=CIRCLE('',#10143,3.18795037677256)!#3420=CIRCLE('',#10144,3.18795037677256)!#3421=CIRCLE('',#10146,3.11023622047244)!#3422=CIRCLE('',#10147,0.118110236220471)!#3423=CIRCLE('',#10148,3.11023622047244)!#3424=CIRCLE('',#10149,3.11023622047244)!#3425=CIRCLE('',#10151,3.11023622047244)!#3426=CIRCLE('',#10152,3.11023622047244)!#3427=CIRCLE('',#10154,3.48328680340964)!#3428=CIRCLE('',#10156,0.832283464566929)!#3429=CIRCLE('',#10157,4.13385826771654)!#3430=CIRCLE('',#10158,4.13385826771654)!#3431=CIRCLE('',#10159,4.13385826771654)!#3432=CIRCLE('',#10162,1.00393700787402)!#3433=CIRCLE('',#10163,1.00393700787402)!#3434=CIRCLE('',#10165,0.748031496062992)!#3435=CIRCLE('',#10166,0.748031496062992)!#3436=CIRCLE('',#10168,0.748031496062992)!#3437=CIRCLE('',#10171,1.25)!#3438=CIRCLE('',#10172,1.25)!#3439=CIRCLE('',#10173,1.25)!#3440=CIRCLE('',#10175,1.40748031496063)!#3441=CIRCLE('',#10176,0.157480314960631)!#3442=CIRCLE('',#10177,1.40748031496063)!#3443=CIRCLE('',#10179,1.74136042821556)!#3444=CIRCLE('',#10180,1.74136042821556)!#3445=CIRCLE('',#10182,1.83976648387454)!#3446=CIRCLE('',#10183,0.196850393700771)!#3447=CIRCLE('',#10184,1.83976648387454)!#3448=CIRCLE('',#10185,1.83976648387454)!#3449=CIRCLE('',#10187,2.0212571381727)!#3450=CIRCLE('',#10188,2.0212571381727)!#3451=CIRCLE('',#10189,2.0212571381727)!#3452=CIRCLE('',#10191,0.196850393700773)!#3453=CIRCLE('',#10192,2.11966319383168)!#3454=CIRCLE('',#10193,2.11966319383168)!#3455=CIRCLE('',#10195,3.54330708661417)!#3456=CIRCLE('',#10196,3.54330708661417)!#3457=CIRCLE('',#10198,3.5630480542402)!#3458=CIRCLE('',#10199,3.74015748031496)!#3459=CIRCLE('',#10200,3.5630480542402)!#3460=CIRCLE('',#10201,3.74015748031496)!#3461=CIRCLE('',#10202,3.5630480542402)!#3462=CIRCLE('',#10204,4.35143243643069)!#3463=CIRCLE('',#10205,4.35143243643069)!#3464=CIRCLE('',#10206,4.35143243643069)!#3465=CIRCLE('',#10208,4.33070866141732)!#3466=CIRCLE('',#10209,4.33070866141732)!#3467=CIRCLE('',#10210,4.33070866141732)!#3468=CIRCLE('',#10212,0.031496062992126)!#3469=CIRCLE('',#10214,3.74015748031496)!#3470=CIRCLE('',#10215,3.74015748031496)!#3471=CIRCLE('',#10216,3.74015748031496)!#3472=CIRCLE('',#10219,3.91732283464567)!#3473=CIRCLE('',#10220,3.91732283464567)!#3474=CIRCLE('',#10221,3.91732283464567)!#3475=CIRCLE('',#10222,3.83863860542131)!#3476=CIRCLE('',#10224,3.83863860542131)!#3477=CIRCLE('',#10225,3.91732283464567)!#3478=CIRCLE('',#10226,3.91732283464567)!#3479=CIRCLE('',#10227,3.91732283464567)!#3480=CIRCLE('',#10229,3.5630480542402)!#3481=CIRCLE('',#10234,3.91732283464567)!#3482=CIRCLE('',#10235,3.91732283464567)!#3483=CIRCLE('',#10236,3.91732283464567)!#3484=CIRCLE('',#10237,3.91732283464567)!#3485=CIRCLE('',#10238,3.83863860542131)!#3486=CIRCLE('',#10242,3.5630480542402)!#3487=CIRCLE('',#10243,3.83863860542131)!#3488=CIRCLE('',#10245,3.91732283464567)!#3489=CIRCLE('',#10246,3.91732283464567)!#3490=CIRCLE('',#10247,3.91732283464567)!#3491=CIRCLE('',#10248,3.91732283464567)!#3492=CIRCLE('',#10255,0.452755905511811)!#3493=CIRCLE('',#10256,0.452755905511811)!#3494=CIRCLE('',#10257,0.452755905511811)!#3495=CIRCLE('',#10258,0.452755905511811)!#3496=CIRCLE('',#10260,3.91732283464567)!#3497=CIRCLE('',#10261,3.91732283464567)!#3498=CIRCLE('',#10265,3.91732283464567)!#3499=CIRCLE('',#10266,3.91732283464567)!#3500=CIRCLE('',#10268,3.91732283464567)!#3501=CIRCLE('',#10270,3.91732283464567)!#3502=CIRCLE('',#10276,0.452755905511811)!#3503=CIRCLE('',#10278,0.452755905511811)!#3504=CIRCLE('',#10283,0.433070866141732)!#3505=CIRCLE('',#10284,3.91732283464567)!#3506=CIRCLE('',#10285,0.191479136612826)!#3507=CIRCLE('',#10288,0.191479136612826)!#3508=CIRCLE('',#10291,0.433070866141732)!#3509=CIRCLE('',#10292,3.91732283464567)!#3510=CIRCLE('',#10295,0.433070866141732)!#3511=CIRCLE('',#10296,0.433070866141732)!#3512=CIRCLE('',#10300,0.216535433070866)!#3513=CIRCLE('',#10309,0.196850393700787)!#3514=CIRCLE('',#10310,0.196850393700787)!#3515=CIRCLE('',#10323,0.196850393700787)!#3516=CIRCLE('',#10326,0.250000000000001)!#3517=CIRCLE('',#10327,0.250000000000001)!#3518=CIRCLE('',#10328,0.250000000000001)!#3519=CIRCLE('',#10329,0.250000000000001)!#3520=CIRCLE('',#10330,0.250000000000001)!#3521=CIRCLE('',#10331,0.250000000000001)!#3522=CIRCLE('',#10345,6.00000000000001)!#3523=CIRCLE('',#10346,6.00000000000001)!#3524=CIRCLE('',#10347,4.52755905511811)!#3525=CIRCLE('',#10348,4.52755905511811)!#3526=CIRCLE('',#10350,6.00000000000001)!#3527=CIRCLE('',#10351,6.00000000000001)!#3528=CIRCLE('',#10353,6.155)!#3529=CIRCLE('',#10354,6.155)!#3530=CIRCLE('',#10356,6.155)!#3531=CIRCLE('',#10357,6.155)!#3532=CIRCLE('',#10358,6.155)!#3533=CIRCLE('',#10359,6.155)!#3534=CIRCLE('',#10360,6.155)!#3535=CIRCLE('',#10361,6.155)!#3536=CIRCLE('',#10362,6.155)!#3537=CIRCLE('',#10363,6.155)!#3538=CIRCLE('',#10365,6.25)!#3539=CIRCLE('',#10366,6.25)!#3540=CIRCLE('',#10370,0.12)!#3541=CIRCLE('',#10371,0.12)!#3542=CIRCLE('',#10374,0.5)!#3543=CIRCLE('',#10375,0.5)!#3544=CIRCLE('',#10378,0.12)!#3545=CIRCLE('',#10379,0.12)!#3546=CIRCLE('',#10381,0.5)!#3547=CIRCLE('',#10382,0.5)!#3548=CIRCLE('',#10385,0.37)!#3549=CIRCLE('',#10386,0.37)!#3550=CIRCLE('',#10389,0.25)!#3551=CIRCLE('',#10390,0.25)!#3552=CIRCLE('',#10393,0.25)!#3553=CIRCLE('',#10394,0.25)!#3554=CIRCLE('',#10397,0.37)!#3555=CIRCLE('',#10398,0.37)!#3556=CIRCLE('',#10400,0.5)!#3557=CIRCLE('',#10401,0.5)!#3558=CIRCLE('',#10403,6.255)!#3559=CIRCLE('',#10404,6.255)!#3560=CIRCLE('',#10407,6.255)!#3561=CIRCLE('',#10409,0.25)!#3562=CIRCLE('',#10410,0.25)!#3563=CIRCLE('',#10411,0.25)!#3564=CIRCLE('',#10412,0.25)!#3565=CIRCLE('',#10415,6.255)!#3566=CIRCLE('',#10418,0.5675)!#3567=CIRCLE('',#10419,0.5675)!#3568=CIRCLE('',#10420,0.302666666666666)!#3569=CIRCLE('',#10421,0.302666666666666)!#3570=CIRCLE('',#10423,0.302666666666666)!#3571=CIRCLE('',#10424,0.302666666666666)!#3572=CIRCLE('',#10426,0.252222222222222)!#3573=CIRCLE('',#10427,0.252222222222222)!#3574=CIRCLE('',#10429,0.252222222222222)!#3575=CIRCLE('',#10430,0.252222222222222)!#3576=CIRCLE('',#10435,0.155)!#3577=CIRCLE('',#10436,0.155)!#3578=CIRCLE('',#10437,0.500000000000003)!#3579=CIRCLE('',#10439,6.25)!#3580=CIRCLE('',#10440,6.25)!#3581=CIRCLE('',#10441,6.25)!#3582=CIRCLE('',#10443,5.524)!#3583=CIRCLE('',#10444,5.524)!#3584=CIRCLE('',#10446,0.726)!#3585=CIRCLE('',#10448,0.5)!#3586=CIRCLE('',#10450,0.5)!#3587=CIRCLE('',#10456,0.8)!#3588=CIRCLE('',#10457,0.8)!#3589=CIRCLE('',#10460,0.8)!#3590=CIRCLE('',#10462,0.8)!#3591=CIRCLE('',#10466,0.125)!#3592=CIRCLE('',#10467,0.125)!#3593=CIRCLE('',#10468,0.125)!#3594=CIRCLE('',#10469,0.125000000000001)!#3595=CIRCLE('',#10472,0.25)!#3596=CIRCLE('',#10473,0.25)!#3597=CIRCLE('',#10474,0.25)!#3598=CIRCLE('',#10475,0.25)!#3599=CIRCLE('',#10478,0.25)!#3600=CIRCLE('',#10480,0.125)!#3601=CIRCLE('',#10481,0.125)!#3602=CIRCLE('',#10483,0.25)!#3603=CIRCLE('',#10485,0.25)!#3604=CIRCLE('',#10487,0.125)!#3605=CIRCLE('',#10488,0.125)!#3606=CIRCLE('',#10490,0.125)!#3607=CIRCLE('',#10491,0.125)!#3608=CIRCLE('',#10493,0.250000000000001)!#3609=CIRCLE('',#10495,0.125)!#3610=CIRCLE('',#10496,0.125)!#3611=CIRCLE('',#10504,4.52755905511811)!#3612=CIRCLE('',#10505,4.52755905511811)!#3613=CIRCLE('',#10506,4.25)!#3614=CIRCLE('',#10508,4.42913385826772)!#3615=CIRCLE('',#10509,0.118110236220472)!#3616=CIRCLE('',#10510,4.42913385826772)!#3617=CIRCLE('',#10511,4.42913385826772)!#3618=CIRCLE('',#10513,4.42913385826772)!#3619=CIRCLE('',#10514,4.42913385826772)!#3620=CIRCLE('',#10516,4.29031258149697)!#3621=CIRCLE('',#10517,4.29031258149697)!#3622=CIRCLE('',#10518,4.29031258149697)!#3623=CIRCLE('',#10520,4.21259842519685)!#3624=CIRCLE('',#10521,0.118110236220473)!#3625=CIRCLE('',#10522,4.21259842519685)!#3626=CIRCLE('',#10523,4.21259842519685)!#3627=CIRCLE('',#10525,4.21259842519685)!#3628=CIRCLE('',#10526,4.21259842519685)!#3629=CIRCLE('',#10527,4.21259842519685)!#3630=CIRCLE('',#10529,4.27165354330709)!#3631=CIRCLE('',#10530,0.118110236220473)!#3632=CIRCLE('',#10531,4.27165354330709)!#3633=CIRCLE('',#10532,4.27165354330709)!#3634=CIRCLE('',#10534,4.52755905511811)!#3635=CIRCLE('',#10535,4.52755905511811)!#3636=CIRCLE('',#10538,4.52755905511811)!#3637=CIRCLE('',#10539,4.52755905511811)!#3638=CIRCLE('',#10541,4.25)!#3639=CIRCLE('',#10542,4.25)!#3640=CIRCLE('',#10545,0.5)!#3641=CIRCLE('',#10546,0.5)!#3642=CIRCLE('',#10547,0.5)!#3643=CIRCLE('',#10549,0.47)!#3644=CIRCLE('',#10550,0.47)!#3645=CIRCLE('',#10552,0.5)!#3646=CIRCLE('',#10553,0.5)!#3647=CIRCLE('',#10556,0.5)!#3648=CIRCLE('',#10557,0.5)!#3649=CIRCLE('',#10558,0.5)!#3650=CIRCLE('',#10560,0.47)!#3651=CIRCLE('',#10561,0.47)!#3652=CIRCLE('',#10563,0.5)!#3653=CIRCLE('',#10564,0.5)!#3654=CIRCLE('',#10567,0.375000000000001)!#3655=CIRCLE('',#10568,0.375000000000001)!#3656=CIRCLE('',#10569,0.375000000000001)!#3657=CIRCLE('',#10570,0.375000000000001)!#3658=CIRCLE('',#10571,0.375000000000001)!#3659=CIRCLE('',#10572,0.375000000000001)!#3660=CIRCLE('',#10586,0.2042)!#3661=CIRCLE('',#10589,0.375000000000001)!#3662=CIRCLE('',#10590,0.375000000000001)!#3663=CIRCLE('',#10591,0.375000000000001)!#3664=CIRCLE('',#10592,0.375000000000001)!#3665=CIRCLE('',#10593,0.375000000000001)!#3666=CIRCLE('',#10594,0.375000000000001)!#3667=CIRCLE('',#10608,0.2042)!#3668=VERTEX_POINT('',#13218)!#3669=VERTEX_POINT('',#13220)!#3670=VERTEX_POINT('',#13225)!#3671=VERTEX_POINT('',#13226)!#3672=VERTEX_POINT('',#13230)!#3673=VERTEX_POINT('',#13236)!#3674=VERTEX_POINT('',#13237)!#3675=VERTEX_POINT('',#13246)!#3676=VERTEX_POINT('',#13247)!#3677=VERTEX_POINT('',#13256)!#3678=VERTEX_POINT('',#13257)!#3679=VERTEX_POINT('',#13266)!#3680=VERTEX_POINT('',#13267)!#3681=VERTEX_POINT('',#13276)!#3682=VERTEX_POINT('',#13285)!#3683=VERTEX_POINT('',#13287)!#3684=VERTEX_POINT('',#13291)!#3685=VERTEX_POINT('',#13295)!#3686=VERTEX_POINT('',#13299)!#3687=VERTEX_POINT('',#13303)!#3688=VERTEX_POINT('',#13310)!#3689=VERTEX_POINT('',#13312)!#3690=VERTEX_POINT('',#13314)!#3691=VERTEX_POINT('',#13319)!#3692=VERTEX_POINT('',#13320)!#3693=VERTEX_POINT('',#13324)!#3694=VERTEX_POINT('',#13330)!#3695=VERTEX_POINT('',#13331)!#3696=VERTEX_POINT('',#13340)!#3697=VERTEX_POINT('',#13341)!#3698=VERTEX_POINT('',#13350)!#3699=VERTEX_POINT('',#13351)!#3700=VERTEX_POINT('',#13360)!#3701=VERTEX_POINT('',#13361)!#3702=VERTEX_POINT('',#13370)!#3703=VERTEX_POINT('',#13379)!#3704=VERTEX_POINT('',#13381)!#3705=VERTEX_POINT('',#13385)!#3706=VERTEX_POINT('',#13389)!#3707=VERTEX_POINT('',#13393)!#3708=VERTEX_POINT('',#13397)!#3709=VERTEX_POINT('',#13404)!#3710=VERTEX_POINT('',#13405)!#3711=VERTEX_POINT('',#13407)!#3712=VERTEX_POINT('',#13409)!#3713=VERTEX_POINT('',#13418)!#3714=VERTEX_POINT('',#13422)!#3715=VERTEX_POINT('',#13428)!#3716=VERTEX_POINT('',#13430)!#3717=VERTEX_POINT('',#13434)!#3718=VERTEX_POINT('',#13436)!#3719=VERTEX_POINT('',#13440)!#3720=VERTEX_POINT('',#13442)!#3721=VERTEX_POINT('',#13446)!#3722=VERTEX_POINT('',#13448)!#3723=VERTEX_POINT('',#13452)!#3724=VERTEX_POINT('',#13454)!#3725=VERTEX_POINT('',#13458)!#3726=VERTEX_POINT('',#13460)!#3727=VERTEX_POINT('',#13464)!#3728=VERTEX_POINT('',#13466)!#3729=VERTEX_POINT('',#13470)!#3730=VERTEX_POINT('',#13472)!#3731=VERTEX_POINT('',#13476)!#3732=VERTEX_POINT('',#13478)!#3733=VERTEX_POINT('',#13486)!#3734=VERTEX_POINT('',#13487)!#3735=VERTEX_POINT('',#13489)!#3736=VERTEX_POINT('',#13491)!#3737=VERTEX_POINT('',#13499)!#3738=VERTEX_POINT('',#13501)!#3739=VERTEX_POINT('',#13505)!#3740=VERTEX_POINT('',#13507)!#3741=VERTEX_POINT('',#13511)!#3742=VERTEX_POINT('',#13513)!#3743=VERTEX_POINT('',#13517)!#3744=VERTEX_POINT('',#13519)!#3745=VERTEX_POINT('',#13523)!#3746=VERTEX_POINT('',#13525)!#3747=VERTEX_POINT('',#13529)!#3748=VERTEX_POINT('',#13531)!#3749=VERTEX_POINT('',#13535)!#3750=VERTEX_POINT('',#13537)!#3751=VERTEX_POINT('',#13541)!#3752=VERTEX_POINT('',#13543)!#3753=VERTEX_POINT('',#13551)!#3754=VERTEX_POINT('',#13552)!#3755=VERTEX_POINT('',#13581)!#3756=VERTEX_POINT('',#13582)!#3757=VERTEX_POINT('',#13621)!#3758=VERTEX_POINT('',#13622)!#3759=VERTEX_POINT('',#13627)!#3760=VERTEX_POINT('',#13629)!#3761=VERTEX_POINT('',#13640)!#3762=VERTEX_POINT('',#13645)!#3763=VERTEX_POINT('',#13656)!#3764=VERTEX_POINT('',#13661)!#3765=VERTEX_POINT('',#13672)!#3766=VERTEX_POINT('',#13677)!#3767=VERTEX_POINT('',#13684)!#3768=VERTEX_POINT('',#13686)!#3769=VERTEX_POINT('',#13690)!#3770=VERTEX_POINT('',#13692)!#3771=VERTEX_POINT('',#13696)!#3772=VERTEX_POINT('',#13698)!#3773=VERTEX_POINT('',#13702)!#3774=VERTEX_POINT('',#13704)!#3775=VERTEX_POINT('',#13708)!#3776=VERTEX_POINT('',#13710)!#3777=VERTEX_POINT('',#13714)!#3778=VERTEX_POINT('',#13716)!#3779=VERTEX_POINT('',#13720)!#3780=VERTEX_POINT('',#13722)!#3781=VERTEX_POINT('',#13726)!#3782=VERTEX_POINT('',#13728)!#3783=VERTEX_POINT('',#13732)!#3784=VERTEX_POINT('',#13734)!#3785=VERTEX_POINT('',#13738)!#3786=VERTEX_POINT('',#13740)!#3787=VERTEX_POINT('',#13744)!#3788=VERTEX_POINT('',#13746)!#3789=VERTEX_POINT('',#13757)!#3790=VERTEX_POINT('',#13762)!#3791=VERTEX_POINT('',#13776)!#3792=VERTEX_POINT('',#13781)!#3793=VERTEX_POINT('',#13795)!#3794=VERTEX_POINT('',#13800)!#3795=VERTEX_POINT('',#13831)!#3796=VERTEX_POINT('',#13832)!#3797=VERTEX_POINT('',#13837)!#3798=VERTEX_POINT('',#13839)!#3799=VERTEX_POINT('',#13853)!#3800=VERTEX_POINT('',#13858)!#3801=VERTEX_POINT('',#13872)!#3802=VERTEX_POINT('',#13877)!#3803=VERTEX_POINT('',#13891)!#3804=VERTEX_POINT('',#13896)!#3805=VERTEX_POINT('',#13900)!#3806=VERTEX_POINT('',#13902)!#3807=VERTEX_POINT('',#13906)!#3808=VERTEX_POINT('',#13908)!#3809=VERTEX_POINT('',#13912)!#3810=VERTEX_POINT('',#13914)!#3811=VERTEX_POINT('',#13918)!#3812=VERTEX_POINT('',#13920)!#3813=VERTEX_POINT('',#13924)!#3814=VERTEX_POINT('',#13926)!#3815=VERTEX_POINT('',#13930)!#3816=VERTEX_POINT('',#13932)!#3817=VERTEX_POINT('',#13943)!#3818=VERTEX_POINT('',#13948)!#3819=VERTEX_POINT('',#13962)!#3820=VERTEX_POINT('',#13967)!#3821=VERTEX_POINT('',#13981)!#3822=VERTEX_POINT('',#13986)!#3823=VERTEX_POINT('',#14000)!#3824=VERTEX_POINT('',#14005)!#3825=VERTEX_POINT('',#14019)!#3826=VERTEX_POINT('',#14024)!#3827=VERTEX_POINT('',#14038)!#3828=VERTEX_POINT('',#14043)!#3829=VERTEX_POINT('',#14050)!#3830=VERTEX_POINT('',#14052)!#3831=VERTEX_POINT('',#14056)!#3832=VERTEX_POINT('',#14058)!#3833=VERTEX_POINT('',#14062)!#3834=VERTEX_POINT('',#14064)!#3835=VERTEX_POINT('',#14068)!#3836=VERTEX_POINT('',#14070)!#3837=VERTEX_POINT('',#14074)!#3838=VERTEX_POINT('',#14076)!#3839=VERTEX_POINT('',#14080)!#3840=VERTEX_POINT('',#14082)!#3841=VERTEX_POINT('',#14086)!#3842=VERTEX_POINT('',#14088)!#3843=VERTEX_POINT('',#14099)!#3844=VERTEX_POINT('',#14104)!#3845=VERTEX_POINT('',#14118)!#3846=VERTEX_POINT('',#14123)!#3847=VERTEX_POINT('',#14137)!#3848=VERTEX_POINT('',#14142)!#3849=VERTEX_POINT('',#14166)!#3850=VERTEX_POINT('',#14167)!#3851=VERTEX_POINT('',#14204)!#3852=VERTEX_POINT('',#14206)!#3853=VERTEX_POINT('',#14244)!#3854=VERTEX_POINT('',#14245)!#3855=VERTEX_POINT('',#14249)!#3856=VERTEX_POINT('',#14255)!#3857=VERTEX_POINT('',#14256)!#3858=VERTEX_POINT('',#14265)!#3859=VERTEX_POINT('',#14266)!#3860=VERTEX_POINT('',#14275)!#3861=VERTEX_POINT('',#14284)!#3862=VERTEX_POINT('',#14286)!#3863=VERTEX_POINT('',#14290)!#3864=VERTEX_POINT('',#14294)!#3865=VERTEX_POINT('',#14301)!#3866=VERTEX_POINT('',#14302)!#3867=VERTEX_POINT('',#14304)!#3868=VERTEX_POINT('',#14306)!#3869=VERTEX_POINT('',#14309)!#3870=VERTEX_POINT('',#14310)!#3871=VERTEX_POINT('',#14312)!#3872=VERTEX_POINT('',#14314)!#3873=VERTEX_POINT('',#14318)!#3874=VERTEX_POINT('',#14319)!#3875=VERTEX_POINT('',#14356)!#3876=VERTEX_POINT('',#14358)!#3877=VERTEX_POINT('',#14396)!#3878=VERTEX_POINT('',#14397)!#3879=VERTEX_POINT('',#14401)!#3880=VERTEX_POINT('',#14407)!#3881=VERTEX_POINT('',#14408)!#3882=VERTEX_POINT('',#14417)!#3883=VERTEX_POINT('',#14418)!#3884=VERTEX_POINT('',#14427)!#3885=VERTEX_POINT('',#14445)!#3886=VERTEX_POINT('',#14446)!#3887=VERTEX_POINT('',#14448)!#3888=VERTEX_POINT('',#14452)!#3889=VERTEX_POINT('',#14453)!#3890=VERTEX_POINT('',#14456)!#3891=VERTEX_POINT('',#14458)!#3892=VERTEX_POINT('',#14464)!#3893=VERTEX_POINT('',#14465)!#3894=VERTEX_POINT('',#14467)!#3895=VERTEX_POINT('',#14469)!#3896=VERTEX_POINT('',#14471)!#3897=VERTEX_POINT('',#14473)!#3898=VERTEX_POINT('',#14475)!#3899=VERTEX_POINT('',#14478)!#3900=VERTEX_POINT('',#14481)!#3901=VERTEX_POINT('',#14484)!#3902=VERTEX_POINT('',#14486)!#3903=VERTEX_POINT('',#14490)!#3904=VERTEX_POINT('',#14494)!#3905=VERTEX_POINT('',#14495)!#3906=VERTEX_POINT('',#14500)!#3907=VERTEX_POINT('',#14501)!#3908=VERTEX_POINT('',#14504)!#3909=VERTEX_POINT('',#14508)!#3910=VERTEX_POINT('',#14512)!#3911=VERTEX_POINT('',#14520)!#3912=VERTEX_POINT('',#14522)!#3913=VERTEX_POINT('',#14526)!#3914=VERTEX_POINT('',#14527)!#3915=VERTEX_POINT('',#14564)!#3916=VERTEX_POINT('',#14566)!#3917=VERTEX_POINT('',#14604)!#3918=VERTEX_POINT('',#14605)!#3919=VERTEX_POINT('',#14609)!#3920=VERTEX_POINT('',#14615)!#3921=VERTEX_POINT('',#14616)!#3922=VERTEX_POINT('',#14625)!#3923=VERTEX_POINT('',#14626)!#3924=VERTEX_POINT('',#14635)!#3925=VERTEX_POINT('',#14644)!#3926=VERTEX_POINT('',#14646)!#3927=VERTEX_POINT('',#14650)!#3928=VERTEX_POINT('',#14654)!#3929=VERTEX_POINT('',#14661)!#3930=VERTEX_POINT('',#14662)!#3931=VERTEX_POINT('',#14664)!#3932=VERTEX_POINT('',#14666)!#3933=VERTEX_POINT('',#14669)!#3934=VERTEX_POINT('',#14670)!#3935=VERTEX_POINT('',#14672)!#3936=VERTEX_POINT('',#14674)!#3937=VERTEX_POINT('',#14678)!#3938=VERTEX_POINT('',#14679)!#3939=VERTEX_POINT('',#14716)!#3940=VERTEX_POINT('',#14718)!#3941=VERTEX_POINT('',#14756)!#3942=VERTEX_POINT('',#14757)!#3943=VERTEX_POINT('',#14761)!#3944=VERTEX_POINT('',#14767)!#3945=VERTEX_POINT('',#14768)!#3946=VERTEX_POINT('',#14777)!#3947=VERTEX_POINT('',#14778)!#3948=VERTEX_POINT('',#14787)!#3949=VERTEX_POINT('',#14805)!#3950=VERTEX_POINT('',#14806)!#3951=VERTEX_POINT('',#14808)!#3952=VERTEX_POINT('',#14812)!#3953=VERTEX_POINT('',#14813)!#3954=VERTEX_POINT('',#14816)!#3955=VERTEX_POINT('',#14818)!#3956=VERTEX_POINT('',#14824)!#3957=VERTEX_POINT('',#14826)!#3958=VERTEX_POINT('',#14830)!#3959=VERTEX_POINT('',#14832)!#3960=VERTEX_POINT('',#14836)!#3961=VERTEX_POINT('',#14837)!#3962=VERTEX_POINT('',#14840)!#3963=VERTEX_POINT('',#14844)!#3964=VERTEX_POINT('',#14848)!#3965=VERTEX_POINT('',#14850)!#3966=VERTEX_POINT('',#14854)!#3967=VERTEX_POINT('',#14858)!#3968=VERTEX_POINT('',#14860)!#3969=VERTEX_POINT('',#14862)!#3970=VERTEX_POINT('',#14868)!#3971=VERTEX_POINT('',#14870)!#3972=VERTEX_POINT('',#14872)!#3973=VERTEX_POINT('',#14874)!#3974=VERTEX_POINT('',#14879)!#3975=VERTEX_POINT('',#14880)!#3976=VERTEX_POINT('',#14884)!#3977=VERTEX_POINT('',#14885)!#3978=VERTEX_POINT('',#14887)!#3979=VERTEX_POINT('',#14889)!#3980=VERTEX_POINT('',#14894)!#3981=VERTEX_POINT('',#14896)!#3982=VERTEX_POINT('',#14900)!#3983=VERTEX_POINT('',#14901)!#3984=VERTEX_POINT('',#14906)!#3985=VERTEX_POINT('',#14907)!#3986=VERTEX_POINT('',#14909)!#3987=VERTEX_POINT('',#14911)!#3988=VERTEX_POINT('',#14916)!#3989=VERTEX_POINT('',#14917)!#3990=VERTEX_POINT('',#14919)!#3991=VERTEX_POINT('',#14924)!#3992=VERTEX_POINT('',#14925)!#3993=VERTEX_POINT('',#14929)!#3994=VERTEX_POINT('',#14930)!#3995=VERTEX_POINT('',#14932)!#3996=VERTEX_POINT('',#14934)!#3997=VERTEX_POINT('',#14939)!#3998=VERTEX_POINT('',#14940)!#3999=VERTEX_POINT('',#14944)!#4000=VERTEX_POINT('',#14945)!#4001=VERTEX_POINT('',#14947)!#4002=VERTEX_POINT('',#14958)!#4003=VERTEX_POINT('',#14959)!#4004=VERTEX_POINT('',#14962)!#4005=VERTEX_POINT('',#14963)!#4006=VERTEX_POINT('',#14967)!#4007=VERTEX_POINT('',#14968)!#4008=VERTEX_POINT('',#14972)!#4009=VERTEX_POINT('',#14973)!#4010=VERTEX_POINT('',#14975)!#4011=VERTEX_POINT('',#14977)!#4012=VERTEX_POINT('',#14982)!#4013=VERTEX_POINT('',#14984)!#4014=VERTEX_POINT('',#14990)!#4015=VERTEX_POINT('',#14991)!#4016=VERTEX_POINT('',#14993)!#4017=VERTEX_POINT('',#14995)!#4018=VERTEX_POINT('',#15000)!#4019=VERTEX_POINT('',#15001)!#4020=VERTEX_POINT('',#15003)!#4021=VERTEX_POINT('',#15008)!#4022=VERTEX_POINT('',#15009)!#4023=VERTEX_POINT('',#15013)!#4024=VERTEX_POINT('',#15014)!#4025=VERTEX_POINT('',#15016)!#4026=VERTEX_POINT('',#15018)!#4027=VERTEX_POINT('',#15023)!#4028=VERTEX_POINT('',#15024)!#4029=VERTEX_POINT('',#15028)!#4030=VERTEX_POINT('',#15029)!#4031=VERTEX_POINT('',#15031)!#4032=VERTEX_POINT('',#15042)!#4033=VERTEX_POINT('',#15043)!#4034=VERTEX_POINT('',#15047)!#4035=VERTEX_POINT('',#15053)!#4036=VERTEX_POINT('',#15054)!#4037=VERTEX_POINT('',#15063)!#4038=VERTEX_POINT('',#15064)!#4039=VERTEX_POINT('',#15073)!#4040=VERTEX_POINT('',#15074)!#4041=VERTEX_POINT('',#15083)!#4042=VERTEX_POINT('',#15084)!#4043=VERTEX_POINT('',#15093)!#4044=VERTEX_POINT('',#15102)!#4045=VERTEX_POINT('',#15104)!#4046=VERTEX_POINT('',#15108)!#4047=VERTEX_POINT('',#15112)!#4048=VERTEX_POINT('',#15116)!#4049=VERTEX_POINT('',#15120)!#4050=VERTEX_POINT('',#15127)!#4051=VERTEX_POINT('',#15128)!#4052=VERTEX_POINT('',#15130)!#4053=VERTEX_POINT('',#15134)!#4054=VERTEX_POINT('',#15135)!#4055=VERTEX_POINT('',#15137)!#4056=VERTEX_POINT('',#15139)!#4057=VERTEX_POINT('',#15141)!#4058=VERTEX_POINT('',#15143)!#4059=VERTEX_POINT('',#15146)!#4060=VERTEX_POINT('',#15149)!#4061=VERTEX_POINT('',#15150)!#4062=VERTEX_POINT('',#15152)!#4063=VERTEX_POINT('',#15156)!#4064=VERTEX_POINT('',#15157)!#4065=VERTEX_POINT('',#15159)!#4066=VERTEX_POINT('',#15161)!#4067=VERTEX_POINT('',#15165)!#4068=VERTEX_POINT('',#15166)!#4069=VERTEX_POINT('',#15205)!#4070=VERTEX_POINT('',#15206)!#4071=VERTEX_POINT('',#15208)!#4072=VERTEX_POINT('',#15210)!#4073=VERTEX_POINT('',#15214)!#4074=VERTEX_POINT('',#15216)!#4075=VERTEX_POINT('',#15220)!#4076=VERTEX_POINT('',#15222)!#4077=VERTEX_POINT('',#15226)!#4078=VERTEX_POINT('',#15228)!#4079=VERTEX_POINT('',#15232)!#4080=VERTEX_POINT('',#15234)!#4081=VERTEX_POINT('',#15258)!#4082=VERTEX_POINT('',#15260)!#4083=VERTEX_POINT('',#15264)!#4084=VERTEX_POINT('',#15266)!#4085=VERTEX_POINT('',#15270)!#4086=VERTEX_POINT('',#15274)!#4087=VERTEX_POINT('',#15278)!#4088=VERTEX_POINT('',#15279)!#4089=VERTEX_POINT('',#15281)!#4090=VERTEX_POINT('',#15283)!#4091=VERTEX_POINT('',#15287)!#4092=VERTEX_POINT('',#15288)!#4093=VERTEX_POINT('',#15290)!#4094=VERTEX_POINT('',#15292)!#4095=VERTEX_POINT('',#15296)!#4096=VERTEX_POINT('',#15297)!#4097=VERTEX_POINT('',#15328)!#4098=VERTEX_POINT('',#15332)!#4099=VERTEX_POINT('',#15336)!#4100=VERTEX_POINT('',#15338)!#4101=VERTEX_POINT('',#15340)!#4102=VERTEX_POINT('',#15342)!#4103=VERTEX_POINT('',#15360)!#4104=VERTEX_POINT('',#15361)!#4105=VERTEX_POINT('',#15363)!#4106=VERTEX_POINT('',#15365)!#4107=VERTEX_POINT('',#15369)!#4108=VERTEX_POINT('',#15371)!#4109=VERTEX_POINT('',#15375)!#4110=VERTEX_POINT('',#15379)!#4111=VERTEX_POINT('',#15385)!#4112=VERTEX_POINT('',#15387)!#4113=VERTEX_POINT('',#15389)!#4114=VERTEX_POINT('',#15391)!#4115=VERTEX_POINT('',#15393)!#4116=VERTEX_POINT('',#15395)!#4117=VERTEX_POINT('',#15399)!#4118=VERTEX_POINT('',#15401)!#4119=VERTEX_POINT('',#15405)!#4120=VERTEX_POINT('',#15407)!#4121=VERTEX_POINT('',#15411)!#4122=VERTEX_POINT('',#15412)!#4123=VERTEX_POINT('',#15414)!#4124=VERTEX_POINT('',#15416)!#4125=VERTEX_POINT('',#15420)!#4126=VERTEX_POINT('',#15422)!#4127=VERTEX_POINT('',#15426)!#4128=VERTEX_POINT('',#15428)!#4129=VERTEX_POINT('',#15433)!#4130=VERTEX_POINT('',#15436)!#4131=VERTEX_POINT('',#15440)!#4132=VERTEX_POINT('',#15442)!#4133=VERTEX_POINT('',#15446)!#4134=VERTEX_POINT('',#15448)!#4135=VERTEX_POINT('',#15461)!#4136=VERTEX_POINT('',#15463)!#4137=VERTEX_POINT('',#15467)!#4138=VERTEX_POINT('',#15468)!#4139=VERTEX_POINT('',#15472)!#4140=VERTEX_POINT('',#15474)!#4141=VERTEX_POINT('',#15476)!#4142=VERTEX_POINT('',#15478)!#4143=VERTEX_POINT('',#15480)!#4144=VERTEX_POINT('',#15484)!#4145=VERTEX_POINT('',#15486)!#4146=VERTEX_POINT('',#15500)!#4147=VERTEX_POINT('',#15511)!#4148=VERTEX_POINT('',#15517)!#4149=VERTEX_POINT('',#15518)!#4150=VERTEX_POINT('',#15520)!#4151=VERTEX_POINT('',#15525)!#4152=VERTEX_POINT('',#15527)!#4153=VERTEX_POINT('',#15529)!#4154=VERTEX_POINT('',#15533)!#4155=VERTEX_POINT('',#15537)!#4156=VERTEX_POINT('',#15551)!#4157=VERTEX_POINT('',#15565)!#4158=VERTEX_POINT('',#15569)!#4159=VERTEX_POINT('',#15571)!#4160=VERTEX_POINT('',#15573)!#4161=VERTEX_POINT('',#15583)!#4162=VERTEX_POINT('',#15584)!#4163=VERTEX_POINT('',#15588)!#4164=VERTEX_POINT('',#15590)!#4165=VERTEX_POINT('',#15592)!#4166=VERTEX_POINT('',#15594)!#4167=VERTEX_POINT('',#15596)!#4168=VERTEX_POINT('',#15600)!#4169=VERTEX_POINT('',#15602)!#4170=VERTEX_POINT('',#15616)!#4171=VERTEX_POINT('',#15627)!#4172=VERTEX_POINT('',#15633)!#4173=VERTEX_POINT('',#15635)!#4174=VERTEX_POINT('',#15639)!#4175=VERTEX_POINT('',#15641)!#4176=VERTEX_POINT('',#15645)!#4177=VERTEX_POINT('',#15649)!#4178=VERTEX_POINT('',#15663)!#4179=VERTEX_POINT('',#15677)!#4180=VERTEX_POINT('',#15681)!#4181=VERTEX_POINT('',#15683)!#4182=VERTEX_POINT('',#15685)!#4183=VERTEX_POINT('',#15701)!#4184=VERTEX_POINT('',#15703)!#4185=VERTEX_POINT('',#15705)!#4186=VERTEX_POINT('',#15721)!#4187=VERTEX_POINT('',#15722)!#4188=VERTEX_POINT('',#15724)!#4189=VERTEX_POINT('',#15726)!#4190=VERTEX_POINT('',#15728)!#4191=VERTEX_POINT('',#15731)!#4192=VERTEX_POINT('',#15735)!#4193=VERTEX_POINT('',#15739)!#4194=VERTEX_POINT('',#15740)!#4195=VERTEX_POINT('',#15742)!#4196=VERTEX_POINT('',#15746)!#4197=VERTEX_POINT('',#15747)!#4198=VERTEX_POINT('',#15750)!#4199=VERTEX_POINT('',#15752)!#4200=VERTEX_POINT('',#15754)!#4201=VERTEX_POINT('',#15758)!#4202=VERTEX_POINT('',#15759)!#4203=VERTEX_POINT('',#15762)!#4204=VERTEX_POINT('',#15766)!#4205=VERTEX_POINT('',#15768)!#4206=VERTEX_POINT('',#15778)!#4207=VERTEX_POINT('',#15791)!#4208=VERTEX_POINT('',#15793)!#4209=VERTEX_POINT('',#15795)!#4210=VERTEX_POINT('',#15797)!#4211=VERTEX_POINT('',#15814)!#4212=VERTEX_POINT('',#15823)!#4213=VERTEX_POINT('',#15856)!#4214=VERTEX_POINT('',#15866)!#4215=VERTEX_POINT('',#15867)!#4216=VERTEX_POINT('',#15871)!#4217=VERTEX_POINT('',#15873)!#4218=VERTEX_POINT('',#15881)!#4219=VERTEX_POINT('',#15883)!#4220=VERTEX_POINT('',#15885)!#4221=VERTEX_POINT('',#15889)!#4222=VERTEX_POINT('',#15890)!#4223=VERTEX_POINT('',#15895)!#4224=VERTEX_POINT('',#15896)!#4225=VERTEX_POINT('',#15900)!#4226=VERTEX_POINT('',#15901)!#4227=VERTEX_POINT('',#15904)!#4228=VERTEX_POINT('',#15908)!#4229=VERTEX_POINT('',#15909)!#4230=VERTEX_POINT('',#15911)!#4231=VERTEX_POINT('',#15916)!#4232=VERTEX_POINT('',#15918)!#4233=VERTEX_POINT('',#15922)!#4234=VERTEX_POINT('',#15923)!#4235=VERTEX_POINT('',#15927)!#4236=VERTEX_POINT('',#15929)!#4237=VERTEX_POINT('',#15931)!#4238=VERTEX_POINT('',#15935)!#4239=VERTEX_POINT('',#15937)!#4240=VERTEX_POINT('',#15941)!#4241=VERTEX_POINT('',#15943)!#4242=VERTEX_POINT('',#15947)!#4243=VERTEX_POINT('',#15949)!#4244=VERTEX_POINT('',#15955)!#4245=VERTEX_POINT('',#15956)!#4246=VERTEX_POINT('',#15958)!#4247=VERTEX_POINT('',#15963)!#4248=VERTEX_POINT('',#15965)!#4249=VERTEX_POINT('',#15967)!#4250=VERTEX_POINT('',#15973)!#4251=VERTEX_POINT('',#15975)!#4252=VERTEX_POINT('',#15978)!#4253=VERTEX_POINT('',#15981)!#4254=VERTEX_POINT('',#15983)!#4255=VERTEX_POINT('',#15987)!#4256=VERTEX_POINT('',#15991)!#4257=VERTEX_POINT('',#16002)!#4258=VERTEX_POINT('',#16004)!#4259=VERTEX_POINT('',#16006)!#4260=VERTEX_POINT('',#16008)!#4261=VERTEX_POINT('',#16019)!#4262=VERTEX_POINT('',#16025)!#4263=VERTEX_POINT('',#16027)!#4264=VERTEX_POINT('',#16029)!#4265=VERTEX_POINT('',#16031)!#4266=VERTEX_POINT('',#16044)!#4267=VERTEX_POINT('',#16046)!#4268=VERTEX_POINT('',#16057)!#4269=VERTEX_POINT('',#16059)!#4270=VERTEX_POINT('',#16063)!#4271=VERTEX_POINT('',#16077)!#4272=VERTEX_POINT('',#16078)!#4273=VERTEX_POINT('',#16089)!#4274=VERTEX_POINT('',#16091)!#4275=VERTEX_POINT('',#16093)!#4276=VERTEX_POINT('',#16095)!#4277=VERTEX_POINT('',#16097)!#4278=VERTEX_POINT('',#16117)!#4279=VERTEX_POINT('',#16119)!#4280=VERTEX_POINT('',#16130)!#4281=VERTEX_POINT('',#16136)!#4282=VERTEX_POINT('',#16137)!#4283=VERTEX_POINT('',#16144)!#4284=VERTEX_POINT('',#16155)!#4285=VERTEX_POINT('',#16157)!#4286=VERTEX_POINT('',#16159)!#4287=VERTEX_POINT('',#16169)!#4288=VERTEX_POINT('',#16170)!#4289=VERTEX_POINT('',#16172)!#4290=VERTEX_POINT('',#16174)!#4291=VERTEX_POINT('',#16182)!#4292=VERTEX_POINT('',#16183)!#4293=VERTEX_POINT('',#16185)!#4294=VERTEX_POINT('',#16187)!#4295=VERTEX_POINT('',#16189)!#4296=VERTEX_POINT('',#16192)!#4297=VERTEX_POINT('',#16197)!#4298=VERTEX_POINT('',#16199)!#4299=VERTEX_POINT('',#16203)!#4300=VERTEX_POINT('',#16205)!#4301=VERTEX_POINT('',#16215)!#4302=VERTEX_POINT('',#16216)!#4303=VERTEX_POINT('',#16218)!#4304=VERTEX_POINT('',#16220)!#4305=VERTEX_POINT('',#16222)!#4306=VERTEX_POINT('',#16224)!#4307=VERTEX_POINT('',#16237)!#4308=VERTEX_POINT('',#16239)!#4309=VERTEX_POINT('',#16243)!#4310=VERTEX_POINT('',#16246)!#4311=VERTEX_POINT('',#16247)!#4312=VERTEX_POINT('',#16276)!#4313=VERTEX_POINT('',#16283)!#4314=VERTEX_POINT('',#16284)!#4315=VERTEX_POINT('',#16286)!#4316=VERTEX_POINT('',#16288)!#4317=VERTEX_POINT('',#16290)!#4318=VERTEX_POINT('',#16292)!#4319=VERTEX_POINT('',#16296)!#4320=VERTEX_POINT('',#16299)!#4321=VERTEX_POINT('',#16303)!#4322=VERTEX_POINT('',#16304)!#4323=VERTEX_POINT('',#16306)!#4324=VERTEX_POINT('',#16310)!#4325=VERTEX_POINT('',#16314)!#4326=VERTEX_POINT('',#16315)!#4327=VERTEX_POINT('',#16320)!#4328=VERTEX_POINT('',#16322)!#4329=VERTEX_POINT('',#16327)!#4330=VERTEX_POINT('',#16328)!#4331=VERTEX_POINT('',#16333)!#4332=VERTEX_POINT('',#16335)!#4333=VERTEX_POINT('',#16365)!#4334=VERTEX_POINT('',#16367)!#4335=VERTEX_POINT('',#16371)!#4336=VERTEX_POINT('',#16373)!#4337=VERTEX_POINT('',#16381)!#4338=VERTEX_POINT('',#16383)!#4339=VERTEX_POINT('',#16387)!#4340=VERTEX_POINT('',#16389)!#4341=VERTEX_POINT('',#16393)!#4342=VERTEX_POINT('',#16394)!#4343=VERTEX_POINT('',#16397)!#4344=VERTEX_POINT('',#16401)!#4345=VERTEX_POINT('',#16405)!#4346=VERTEX_POINT('',#16407)!#4347=VERTEX_POINT('',#16411)!#4348=VERTEX_POINT('',#16415)!#4349=VERTEX_POINT('',#16416)!#4350=VERTEX_POINT('',#16418)!#4351=VERTEX_POINT('',#16420)!#4352=VERTEX_POINT('',#16422)!#4353=VERTEX_POINT('',#16424)!#4354=VERTEX_POINT('',#16428)!#4355=VERTEX_POINT('',#16433)!#4356=VERTEX_POINT('',#16453)!#4357=VERTEX_POINT('',#16458)!#4358=VERTEX_POINT('',#16459)!#4359=VERTEX_POINT('',#16461)!#4360=VERTEX_POINT('',#16463)!#4361=VERTEX_POINT('',#16465)!#4362=VERTEX_POINT('',#16467)!#4363=VERTEX_POINT('',#16471)!#4364=VERTEX_POINT('',#16476)!#4365=VERTEX_POINT('',#16485)!#4366=VERTEX_POINT('',#16494)!#4367=VERTEX_POINT('',#16500)!#4368=VERTEX_POINT('',#16509)!#4369=VERTEX_POINT('',#16531)!#4370=VERTEX_POINT('',#16532)!#4371=VERTEX_POINT('',#16535)!#4372=VERTEX_POINT('',#16536)!#4373=VERTEX_POINT('',#16540)!#4374=VERTEX_POINT('',#16542)!#4375=VERTEX_POINT('',#16546)!#4376=VERTEX_POINT('',#16547)!#4377=VERTEX_POINT('',#16551)!#4378=VERTEX_POINT('',#16553)!#4379=VERTEX_POINT('',#16555)!#4380=VERTEX_POINT('',#16557)!#4381=VERTEX_POINT('',#16559)!#4382=VERTEX_POINT('',#16561)!#4383=VERTEX_POINT('',#16564)!#4384=VERTEX_POINT('',#16566)!#4385=VERTEX_POINT('',#16569)!#4386=VERTEX_POINT('',#16570)!#4387=VERTEX_POINT('',#16639)!#4388=VERTEX_POINT('',#16640)!#4389=VERTEX_POINT('',#16642)!#4390=VERTEX_POINT('',#16644)!#4391=VERTEX_POINT('',#16648)!#4392=VERTEX_POINT('',#16649)!#4393=VERTEX_POINT('',#16653)!#4394=VERTEX_POINT('',#16655)!#4395=VERTEX_POINT('',#16659)!#4396=VERTEX_POINT('',#16661)!#4397=VERTEX_POINT('',#16665)!#4398=VERTEX_POINT('',#16666)!#4399=VERTEX_POINT('',#16668)!#4400=VERTEX_POINT('',#16670)!#4401=VERTEX_POINT('',#16674)!#4402=VERTEX_POINT('',#16676)!#4403=VERTEX_POINT('',#16680)!#4404=VERTEX_POINT('',#16682)!#4405=VERTEX_POINT('',#16686)!#4406=VERTEX_POINT('',#16688)!#4407=VERTEX_POINT('',#16692)!#4408=VERTEX_POINT('',#16694)!#4409=VERTEX_POINT('',#16698)!#4410=VERTEX_POINT('',#16699)!#4411=VERTEX_POINT('',#16702)!#4412=VERTEX_POINT('',#16704)!#4413=VERTEX_POINT('',#16707)!#4414=VERTEX_POINT('',#16708)!#4415=VERTEX_POINT('',#16710)!#4416=VERTEX_POINT('',#16712)!#4417=VERTEX_POINT('',#16714)!#4418=VERTEX_POINT('',#16716)!#4419=VERTEX_POINT('',#16723)!#4420=VERTEX_POINT('',#16725)!#4421=VERTEX_POINT('',#16729)!#4422=VERTEX_POINT('',#16731)!#4423=VERTEX_POINT('',#16735)!#4424=VERTEX_POINT('',#16737)!#4425=VERTEX_POINT('',#16741)!#4426=VERTEX_POINT('',#16742)!#4427=VERTEX_POINT('',#16744)!#4428=VERTEX_POINT('',#16746)!#4429=VERTEX_POINT('',#16750)!#4430=VERTEX_POINT('',#16751)!#4431=VERTEX_POINT('',#16753)!#4432=VERTEX_POINT('',#16755)!#4433=VERTEX_POINT('',#16759)!#4434=VERTEX_POINT('',#16761)!#4435=VERTEX_POINT('',#16771)!#4436=VERTEX_POINT('',#16772)!#4437=VERTEX_POINT('',#16775)!#4438=VERTEX_POINT('',#16777)!#4439=VERTEX_POINT('',#16779)!#4440=VERTEX_POINT('',#16781)!#4441=VERTEX_POINT('',#16783)!#4442=VERTEX_POINT('',#16785)!#4443=VERTEX_POINT('',#16787)!#4444=VERTEX_POINT('',#16789)!#4445=VERTEX_POINT('',#16793)!#4446=VERTEX_POINT('',#16797)!#4447=VERTEX_POINT('',#16799)!#4448=VERTEX_POINT('',#16810)!#4449=VERTEX_POINT('',#16823)!#4450=VERTEX_POINT('',#16825)!#4451=VERTEX_POINT('',#16827)!#4452=VERTEX_POINT('',#16829)!#4453=VERTEX_POINT('',#16831)!#4454=VERTEX_POINT('',#16833)!#4455=VERTEX_POINT('',#16837)!#4456=VERTEX_POINT('',#16839)!#4457=VERTEX_POINT('',#16843)!#4458=VERTEX_POINT('',#16845)!#4459=VERTEX_POINT('',#16856)!#4460=VERTEX_POINT('',#16858)!#4461=VERTEX_POINT('',#16873)!#4462=VERTEX_POINT('',#16874)!#4463=VERTEX_POINT('',#16877)!#4464=VERTEX_POINT('',#16878)!#4465=VERTEX_POINT('',#16882)!#4466=VERTEX_POINT('',#16884)!#4467=VERTEX_POINT('',#16888)!#4468=VERTEX_POINT('',#16889)!#4469=VERTEX_POINT('',#16893)!#4470=VERTEX_POINT('',#16895)!#4471=VERTEX_POINT('',#16904)!#4472=VERTEX_POINT('',#16906)!#4473=VERTEX_POINT('',#16919)!#4474=VERTEX_POINT('',#16932)!#4475=VERTEX_POINT('',#16946)!#4476=VERTEX_POINT('',#16960)!#4477=VERTEX_POINT('',#16965)!#4478=VERTEX_POINT('',#16967)!#4479=VERTEX_POINT('',#16969)!#4480=VERTEX_POINT('',#16973)!#4481=VERTEX_POINT('',#16974)!#4482=VERTEX_POINT('',#16980)!#4483=VERTEX_POINT('',#16984)!#4484=VERTEX_POINT('',#16988)!#4485=VERTEX_POINT('',#16989)!#4486=VERTEX_POINT('',#16991)!#4487=VERTEX_POINT('',#16993)!#4488=VERTEX_POINT('',#16995)!#4489=VERTEX_POINT('',#16997)!#4490=VERTEX_POINT('',#17008)!#4491=VERTEX_POINT('',#17011)!#4492=VERTEX_POINT('',#17015)!#4493=VERTEX_POINT('',#17021)!#4494=VERTEX_POINT('',#17028)!#4495=VERTEX_POINT('',#17029)!#4496=VERTEX_POINT('',#17031)!#4497=VERTEX_POINT('',#17033)!#4498=VERTEX_POINT('',#17035)!#4499=VERTEX_POINT('',#17037)!#4500=VERTEX_POINT('',#17039)!#4501=VERTEX_POINT('',#17041)!#4502=VERTEX_POINT('',#17045)!#4503=VERTEX_POINT('',#17046)!#4504=VERTEX_POINT('',#17048)!#4505=VERTEX_POINT('',#17050)!#4506=VERTEX_POINT('',#17056)!#4507=VERTEX_POINT('',#17058)!#4508=VERTEX_POINT('',#17061)!#4509=VERTEX_POINT('',#17063)!#4510=VERTEX_POINT('',#17067)!#4511=VERTEX_POINT('',#17069)!#4512=VERTEX_POINT('',#17139)!#4513=VERTEX_POINT('',#17140)!#4514=VERTEX_POINT('',#17143)!#4515=VERTEX_POINT('',#17146)!#4516=VERTEX_POINT('',#17147)!#4517=VERTEX_POINT('',#17150)!#4518=VERTEX_POINT('',#17154)!#4519=VERTEX_POINT('',#17156)!#4520=VERTEX_POINT('',#17160)!#4521=VERTEX_POINT('',#17162)!#4522=VERTEX_POINT('',#17164)!#4523=VERTEX_POINT('',#17168)!#4524=VERTEX_POINT('',#17169)!#4525=VERTEX_POINT('',#17172)!#4526=VERTEX_POINT('',#17176)!#4527=VERTEX_POINT('',#17178)!#4528=VERTEX_POINT('',#17180)!#4529=VERTEX_POINT('',#17184)!#4530=VERTEX_POINT('',#17185)!#4531=VERTEX_POINT('',#17188)!#4532=VERTEX_POINT('',#17192)!#4533=VERTEX_POINT('',#17194)!#4534=VERTEX_POINT('',#17200)!#4535=VERTEX_POINT('',#17201)!#4536=VERTEX_POINT('',#17206)!#4537=VERTEX_POINT('',#17207)!#4538=VERTEX_POINT('',#17213)!#4539=VERTEX_POINT('',#17214)!#4540=VERTEX_POINT('',#17216)!#4541=VERTEX_POINT('',#17220)!#4542=VERTEX_POINT('',#17221)!#4543=VERTEX_POINT('',#17225)!#4544=VERTEX_POINT('',#17226)!#4545=VERTEX_POINT('',#17233)!#4546=VERTEX_POINT('',#17234)!#4547=VERTEX_POINT('',#17236)!#4548=VERTEX_POINT('',#17240)!#4549=VERTEX_POINT('',#17241)!#4550=VERTEX_POINT('',#17245)!#4551=VERTEX_POINT('',#17246)!#4552=VERTEX_POINT('',#17253)!#4553=VERTEX_POINT('',#17254)!#4554=VERTEX_POINT('',#17256)!#4555=VERTEX_POINT('',#17258)!#4556=VERTEX_POINT('',#17260)!#4557=VERTEX_POINT('',#17262)!#4558=VERTEX_POINT('',#17266)!#4559=VERTEX_POINT('',#17271)!#4560=VERTEX_POINT('',#17280)!#4561=VERTEX_POINT('',#17289)!#4562=VERTEX_POINT('',#17295)!#4563=VERTEX_POINT('',#17304)!#4564=VERTEX_POINT('',#17326)!#4565=VERTEX_POINT('',#17331)!#4566=VERTEX_POINT('',#17332)!#4567=VERTEX_POINT('',#17334)!#4568=VERTEX_POINT('',#17336)!#4569=VERTEX_POINT('',#17338)!#4570=VERTEX_POINT('',#17340)!#4571=VERTEX_POINT('',#17344)!#4572=VERTEX_POINT('',#17349)!#4573=VERTEX_POINT('',#17358)!#4574=VERTEX_POINT('',#17367)!#4575=VERTEX_POINT('',#17373)!#4576=VERTEX_POINT('',#17382)!#4577=VERTEX_POINT('',#17404)!#4578=EDGE_CURVE('',#3668,#3668,#3201,.T.)!#4579=EDGE_CURVE('',#3668,#3669,#1829,.T.)!#4580=EDGE_CURVE('',#3669,#3669,#3202,.T.)!#4581=EDGE_CURVE('',#3670,#3671,#337,.T.)!#4582=EDGE_CURVE('',#3672,#3670,#3203,.T.)!#4583=EDGE_CURVE('',#3671,#3672,#338,.T.)!#4584=EDGE_CURVE('',#3673,#3674,#339,.T.)!#4585=EDGE_CURVE('',#3672,#3673,#340,.T.)!#4586=EDGE_CURVE('',#3674,#3672,#3204,.T.)!#4587=EDGE_CURVE('',#3675,#3676,#341,.T.)!#4588=EDGE_CURVE('',#3670,#3675,#3205,.T.)!#4589=EDGE_CURVE('',#3676,#3670,#342,.T.)!#4590=EDGE_CURVE('',#3677,#3678,#343,.T.)!#4591=EDGE_CURVE('',#3674,#3677,#344,.T.)!#4592=EDGE_CURVE('',#3678,#3674,#3206,.T.)!#4593=EDGE_CURVE('',#3679,#3680,#345,.T.)!#4594=EDGE_CURVE('',#3675,#3679,#3207,.T.)!#4595=EDGE_CURVE('',#3680,#3675,#346,.T.)!#4596=EDGE_CURVE('',#3681,#3679,#347,.T.)!#4597=EDGE_CURVE('',#3678,#3681,#348,.T.)!#4598=EDGE_CURVE('',#3679,#3678,#3208,.T.)!#4599=EDGE_CURVE('',#3682,#3680,#1830,.T.)!#4600=EDGE_CURVE('',#3683,#3682,#1831,.T.)!#4601=EDGE_CURVE('',#3683,#3681,#1832,.T.)!#4602=EDGE_CURVE('',#3684,#3676,#1833,.T.)!#4603=EDGE_CURVE('',#3682,#3684,#1834,.T.)!#4604=EDGE_CURVE('',#3685,#3671,#1835,.T.)!#4605=EDGE_CURVE('',#3684,#3685,#1836,.T.)!#4606=EDGE_CURVE('',#3686,#3673,#1837,.T.)!#4607=EDGE_CURVE('',#3685,#3686,#1838,.T.)!#4608=EDGE_CURVE('',#3687,#3677,#1839,.T.)!#4609=EDGE_CURVE('',#3686,#3687,#1840,.T.)!#4610=EDGE_CURVE('',#3687,#3683,#1841,.T.)!#4611=EDGE_CURVE('',#3688,#3688,#3209,.T.)!#4612=EDGE_CURVE('',#3688,#3689,#1842,.T.)!#4613=EDGE_CURVE('',#3690,#3689,#3210,.T.)!#4614=EDGE_CURVE('',#3689,#3690,#3211,.T.)!#4615=EDGE_CURVE('',#3691,#3692,#349,.T.)!#4616=EDGE_CURVE('',#3693,#3691,#3212,.T.)!#4617=EDGE_CURVE('',#3692,#3693,#350,.T.)!#4618=EDGE_CURVE('',#3694,#3695,#351,.T.)!#4619=EDGE_CURVE('',#3693,#3694,#352,.T.)!#4620=EDGE_CURVE('',#3695,#3693,#3213,.T.)!#4621=EDGE_CURVE('',#3696,#3697,#353,.T.)!#4622=EDGE_CURVE('',#3691,#3696,#3214,.T.)!#4623=EDGE_CURVE('',#3697,#3691,#354,.T.)!#4624=EDGE_CURVE('',#3698,#3699,#355,.T.)!#4625=EDGE_CURVE('',#3695,#3698,#356,.T.)!#4626=EDGE_CURVE('',#3699,#3695,#3215,.T.)!#4627=EDGE_CURVE('',#3700,#3701,#357,.T.)!#4628=EDGE_CURVE('',#3696,#3700,#3216,.T.)!#4629=EDGE_CURVE('',#3701,#3696,#358,.T.)!#4630=EDGE_CURVE('',#3702,#3700,#359,.T.)!#4631=EDGE_CURVE('',#3699,#3702,#360,.T.)!#4632=EDGE_CURVE('',#3700,#3699,#3217,.T.)!#4633=EDGE_CURVE('',#3703,#3701,#1843,.T.)!#4634=EDGE_CURVE('',#3704,#3703,#1844,.T.)!#4635=EDGE_CURVE('',#3704,#3702,#1845,.T.)!#4636=EDGE_CURVE('',#3705,#3697,#1846,.T.)!#4637=EDGE_CURVE('',#3703,#3705,#1847,.T.)!#4638=EDGE_CURVE('',#3706,#3692,#1848,.T.)!#4639=EDGE_CURVE('',#3705,#3706,#1849,.T.)!#4640=EDGE_CURVE('',#3707,#3694,#1850,.T.)!#4641=EDGE_CURVE('',#3706,#3707,#1851,.T.)!#4642=EDGE_CURVE('',#3708,#3698,#1852,.T.)!#4643=EDGE_CURVE('',#3707,#3708,#1853,.T.)!#4644=EDGE_CURVE('',#3708,#3704,#1854,.T.)!#4645=EDGE_CURVE('',#3709,#3710,#1855,.T.)!#4646=EDGE_CURVE('',#3711,#3709,#1856,.T.)!#4647=EDGE_CURVE('',#3712,#3711,#1857,.T.)!#4648=EDGE_CURVE('',#3712,#3710,#1858,.T.)!#4649=EDGE_CURVE('',#3713,#3709,#210,.T.)!#4650=EDGE_CURVE('',#3714,#3713,#1859,.T.)!#4651=EDGE_CURVE('',#3711,#3714,#211,.T.)!#4652=EDGE_CURVE('',#3715,#3713,#1860,.T.)!#4653=EDGE_CURVE('',#3716,#3715,#1861,.T.)!#4654=EDGE_CURVE('',#3714,#3716,#1862,.T.)!#4655=EDGE_CURVE('',#3717,#3715,#1863,.T.)!#4656=EDGE_CURVE('',#3718,#3717,#1864,.T.)!#4657=EDGE_CURVE('',#3716,#3718,#1865,.T.)!#4658=EDGE_CURVE('',#3719,#3717,#1866,.T.)!#4659=EDGE_CURVE('',#3720,#3719,#1867,.T.)!#4660=EDGE_CURVE('',#3718,#3720,#1868,.T.)!#4661=EDGE_CURVE('',#3721,#3719,#1869,.T.)!#4662=EDGE_CURVE('',#3722,#3721,#1870,.T.)!#4663=EDGE_CURVE('',#3720,#3722,#1871,.T.)!#4664=EDGE_CURVE('',#3723,#3721,#1872,.T.)!#4665=EDGE_CURVE('',#3724,#3723,#1873,.T.)!#4666=EDGE_CURVE('',#3722,#3724,#1874,.T.)!#4667=EDGE_CURVE('',#3725,#3723,#1875,.T.)!#4668=EDGE_CURVE('',#3726,#3725,#1876,.T.)!#4669=EDGE_CURVE('',#3724,#3726,#1877,.T.)!#4670=EDGE_CURVE('',#3727,#3725,#1878,.T.)!#4671=EDGE_CURVE('',#3728,#3727,#1879,.T.)!#4672=EDGE_CURVE('',#3726,#3728,#1880,.T.)!#4673=EDGE_CURVE('',#3729,#3727,#1881,.T.)!#4674=EDGE_CURVE('',#3730,#3729,#1882,.T.)!#4675=EDGE_CURVE('',#3728,#3730,#1883,.T.)!#4676=EDGE_CURVE('',#3731,#3729,#1884,.T.)!#4677=EDGE_CURVE('',#3732,#3731,#1885,.T.)!#4678=EDGE_CURVE('',#3730,#3732,#1886,.T.)!#4679=EDGE_CURVE('',#3710,#3731,#1887,.T.)!#4680=EDGE_CURVE('',#3732,#3712,#1888,.T.)!#4681=EDGE_CURVE('',#3733,#3734,#1889,.T.)!#4682=EDGE_CURVE('',#3735,#3733,#1890,.T.)!#4683=EDGE_CURVE('',#3735,#3736,#1891,.T.)!#4684=EDGE_CURVE('',#3736,#3734,#1892,.T.)!#4685=EDGE_CURVE('',#3731,#3733,#1893,.T.)!#4686=EDGE_CURVE('',#3732,#3731,#1894,.T.)!#4687=EDGE_CURVE('',#3732,#3735,#1895,.T.)!#4688=EDGE_CURVE('',#3737,#3731,#1896,.T.)!#4689=EDGE_CURVE('',#3738,#3737,#1897,.T.)!#4690=EDGE_CURVE('',#3738,#3732,#1898,.T.)!#4691=EDGE_CURVE('',#3739,#3737,#1899,.T.)!#4692=EDGE_CURVE('',#3740,#3739,#1900,.T.)!#4693=EDGE_CURVE('',#3740,#3738,#1901,.T.)!#4694=EDGE_CURVE('',#3741,#3739,#1902,.T.)!#4695=EDGE_CURVE('',#3742,#3741,#1903,.T.)!#4696=EDGE_CURVE('',#3742,#3740,#1904,.T.)!#4697=EDGE_CURVE('',#3743,#3741,#1905,.T.)!#4698=EDGE_CURVE('',#3744,#3743,#1906,.T.)!#4699=EDGE_CURVE('',#3744,#3742,#1907,.T.)!#4700=EDGE_CURVE('',#3745,#3743,#1908,.T.)!#4701=EDGE_CURVE('',#3746,#3745,#1909,.T.)!#4702=EDGE_CURVE('',#3746,#3744,#1910,.T.)!#4703=EDGE_CURVE('',#3747,#3745,#1911,.T.)!#4704=EDGE_CURVE('',#3748,#3747,#1912,.T.)!#4705=EDGE_CURVE('',#3748,#3746,#1913,.T.)!#4706=EDGE_CURVE('',#3749,#3747,#1914,.T.)!#4707=EDGE_CURVE('',#3750,#3749,#1915,.T.)!#4708=EDGE_CURVE('',#3750,#3748,#1916,.T.)!#4709=EDGE_CURVE('',#3751,#3749,#1917,.T.)!#4710=EDGE_CURVE('',#3752,#3751,#1918,.T.)!#4711=EDGE_CURVE('',#3752,#3750,#1919,.T.)!#4712=EDGE_CURVE('',#3734,#3751,#1920,.T.)!#4713=EDGE_CURVE('',#3736,#3752,#1921,.T.)!#4714=EDGE_CURVE('',#3753,#3754,#212,.T.)!#4715=EDGE_CURVE('',#3754,#3753,#213,.T.)!#4716=EDGE_CURVE('',#3755,#3756,#214,.T.)!#4717=EDGE_CURVE('',#3753,#3755,#1922,.T.)!#4718=EDGE_CURVE('',#3754,#3756,#1923,.T.)!#4719=EDGE_CURVE('',#3756,#3755,#215,.T.)!#4720=EDGE_CURVE('',#3757,#3758,#216,.T.)!#4721=EDGE_CURVE('',#3759,#3757,#1924,.T.)!#4722=EDGE_CURVE('',#3760,#3759,#207,.T.)!#4723=EDGE_CURVE('',#3760,#3758,#1925,.T.)!#4724=EDGE_CURVE('',#3761,#3757,#217,.T.)!#4725=EDGE_CURVE('',#3762,#3761,#1926,.T.)!#4726=EDGE_CURVE('',#3759,#3762,#208,.T.)!#4727=EDGE_CURVE('',#3763,#3761,#218,.T.)!#4728=EDGE_CURVE('',#3764,#3763,#1927,.T.)!#4729=EDGE_CURVE('',#3762,#3764,#3218,.T.)!#4730=EDGE_CURVE('',#3765,#3763,#219,.T.)!#4731=EDGE_CURVE('',#3766,#3765,#1928,.T.)!#4732=EDGE_CURVE('',#3764,#3766,#220,.T.)!#4733=EDGE_CURVE('',#3767,#3765,#1929,.T.)!#4734=EDGE_CURVE('',#3768,#3767,#1930,.T.)!#4735=EDGE_CURVE('',#3766,#3768,#1931,.T.)!#4736=EDGE_CURVE('',#3769,#3767,#1932,.T.)!#4737=EDGE_CURVE('',#3770,#3769,#1933,.T.)!#4738=EDGE_CURVE('',#3768,#3770,#1934,.T.)!#4739=EDGE_CURVE('',#3771,#3769,#1935,.T.)!#4740=EDGE_CURVE('',#3772,#3771,#1936,.T.)!#4741=EDGE_CURVE('',#3770,#3772,#1937,.T.)!#4742=EDGE_CURVE('',#3773,#3771,#1938,.T.)!#4743=EDGE_CURVE('',#3774,#3773,#1939,.T.)!#4744=EDGE_CURVE('',#3772,#3774,#1940,.T.)!#4745=EDGE_CURVE('',#3775,#3773,#1941,.T.)!#4746=EDGE_CURVE('',#3776,#3775,#1942,.T.)!#4747=EDGE_CURVE('',#3774,#3776,#1943,.T.)!#4748=EDGE_CURVE('',#3777,#3775,#1944,.T.)!#4749=EDGE_CURVE('',#3778,#3777,#1945,.T.)!#4750=EDGE_CURVE('',#3776,#3778,#1946,.T.)!#4751=EDGE_CURVE('',#3779,#3777,#1947,.T.)!#4752=EDGE_CURVE('',#3780,#3779,#1948,.T.)!#4753=EDGE_CURVE('',#3778,#3780,#1949,.T.)!#4754=EDGE_CURVE('',#3781,#3779,#1950,.T.)!#4755=EDGE_CURVE('',#3782,#3781,#1951,.T.)!#4756=EDGE_CURVE('',#3780,#3782,#1952,.T.)!#4757=EDGE_CURVE('',#3783,#3781,#1953,.T.)!#4758=EDGE_CURVE('',#3784,#3783,#1954,.T.)!#4759=EDGE_CURVE('',#3782,#3784,#1955,.T.)!#4760=EDGE_CURVE('',#3785,#3783,#1956,.T.)!#4761=EDGE_CURVE('',#3786,#3785,#1957,.T.)!#4762=EDGE_CURVE('',#3784,#3786,#1958,.T.)!#4763=EDGE_CURVE('',#3787,#3785,#1959,.T.)!#4764=EDGE_CURVE('',#3788,#3787,#1960,.T.)!#4765=EDGE_CURVE('',#3786,#3788,#1961,.T.)!#4766=EDGE_CURVE('',#3789,#3787,#221,.T.)!#4767=EDGE_CURVE('',#3790,#3789,#1962,.T.)!#4768=EDGE_CURVE('',#3788,#3790,#222,.T.)!#4769=EDGE_CURVE('',#3791,#3789,#223,.T.)!#4770=EDGE_CURVE('',#3792,#3791,#1963,.T.)!#4771=EDGE_CURVE('',#3790,#3792,#224,.T.)!#4772=EDGE_CURVE('',#3793,#3791,#225,.T.)!#4773=EDGE_CURVE('',#3794,#3793,#1964,.T.)!#4774=EDGE_CURVE('',#3792,#3794,#226,.T.)!#4775=EDGE_CURVE('',#3758,#3793,#227,.T.)!#4776=EDGE_CURVE('',#3794,#3760,#228,.T.)!#4777=EDGE_CURVE('',#3795,#3796,#229,.T.)!#4778=EDGE_CURVE('',#3797,#3795,#1965,.T.)!#4779=EDGE_CURVE('',#3798,#3797,#230,.T.)!#4780=EDGE_CURVE('',#3798,#3796,#1966,.T.)!#4781=EDGE_CURVE('',#3799,#3795,#231,.T.)!#4782=EDGE_CURVE('',#3800,#3799,#1967,.T.)!#4783=EDGE_CURVE('',#3797,#3800,#232,.T.)!#4784=EDGE_CURVE('',#3801,#3799,#233,.T.)!#4785=EDGE_CURVE('',#3802,#3801,#1968,.T.)!#4786=EDGE_CURVE('',#3800,#3802,#234,.T.)!#4787=EDGE_CURVE('',#3803,#3801,#235,.T.)!#4788=EDGE_CURVE('',#3804,#3803,#1969,.T.)!#4789=EDGE_CURVE('',#3802,#3804,#209,.T.)!#4790=EDGE_CURVE('',#3805,#3803,#1970,.T.)!#4791=EDGE_CURVE('',#3806,#3805,#1971,.T.)!#4792=EDGE_CURVE('',#3804,#3806,#1972,.T.)!#4793=EDGE_CURVE('',#3807,#3805,#1973,.T.)!#4794=EDGE_CURVE('',#3808,#3807,#1974,.T.)!#4795=EDGE_CURVE('',#3806,#3808,#1975,.T.)!#4796=EDGE_CURVE('',#3809,#3807,#1976,.T.)!#4797=EDGE_CURVE('',#3810,#3809,#1977,.T.)!#4798=EDGE_CURVE('',#3808,#3810,#1978,.T.)!#4799=EDGE_CURVE('',#3811,#3809,#1979,.T.)!#4800=EDGE_CURVE('',#3812,#3811,#1980,.T.)!#4801=EDGE_CURVE('',#3810,#3812,#1981,.T.)!#4802=EDGE_CURVE('',#3813,#3811,#1982,.T.)!#4803=EDGE_CURVE('',#3814,#3813,#1983,.T.)!#4804=EDGE_CURVE('',#3812,#3814,#1984,.T.)!#4805=EDGE_CURVE('',#3815,#3813,#1985,.T.)!#4806=EDGE_CURVE('',#3816,#3815,#1986,.T.)!#4807=EDGE_CURVE('',#3814,#3816,#1987,.T.)!#4808=EDGE_CURVE('',#3817,#3815,#236,.T.)!#4809=EDGE_CURVE('',#3818,#3817,#1988,.T.)!#4810=EDGE_CURVE('',#3816,#3818,#237,.T.)!#4811=EDGE_CURVE('',#3819,#3817,#238,.T.)!#4812=EDGE_CURVE('',#3820,#3819,#1989,.T.)!#4813=EDGE_CURVE('',#3818,#3820,#239,.T.)!#4814=EDGE_CURVE('',#3821,#3819,#240,.T.)!#4815=EDGE_CURVE('',#3822,#3821,#1990,.T.)!#4816=EDGE_CURVE('',#3820,#3822,#241,.T.)!#4817=EDGE_CURVE('',#3823,#3821,#242,.T.)!#4818=EDGE_CURVE('',#3824,#3823,#1991,.T.)!#4819=EDGE_CURVE('',#3822,#3824,#243,.T.)!#4820=EDGE_CURVE('',#3825,#3823,#244,.T.)!#4821=EDGE_CURVE('',#3826,#3825,#1992,.T.)!#4822=EDGE_CURVE('',#3824,#3826,#245,.T.)!#4823=EDGE_CURVE('',#3827,#3825,#246,.T.)!#4824=EDGE_CURVE('',#3828,#3827,#1993,.T.)!#4825=EDGE_CURVE('',#3826,#3828,#247,.T.)!#4826=EDGE_CURVE('',#3829,#3827,#1994,.T.)!#4827=EDGE_CURVE('',#3830,#3829,#1995,.T.)!#4828=EDGE_CURVE('',#3828,#3830,#1996,.T.)!#4829=EDGE_CURVE('',#3831,#3829,#1997,.T.)!#4830=EDGE_CURVE('',#3832,#3831,#1998,.T.)!#4831=EDGE_CURVE('',#3830,#3832,#1999,.T.)!#4832=EDGE_CURVE('',#3833,#3831,#2000,.T.)!#4833=EDGE_CURVE('',#3834,#3833,#2001,.T.)!#4834=EDGE_CURVE('',#3832,#3834,#2002,.T.)!#4835=EDGE_CURVE('',#3835,#3833,#2003,.T.)!#4836=EDGE_CURVE('',#3836,#3835,#2004,.T.)!#4837=EDGE_CURVE('',#3834,#3836,#2005,.T.)!#4838=EDGE_CURVE('',#3837,#3835,#2006,.T.)!#4839=EDGE_CURVE('',#3838,#3837,#2007,.T.)!#4840=EDGE_CURVE('',#3836,#3838,#2008,.T.)!#4841=EDGE_CURVE('',#3839,#3837,#2009,.T.)!#4842=EDGE_CURVE('',#3840,#3839,#2010,.T.)!#4843=EDGE_CURVE('',#3838,#3840,#2011,.T.)!#4844=EDGE_CURVE('',#3841,#3839,#2012,.T.)!#4845=EDGE_CURVE('',#3842,#3841,#2013,.T.)!#4846=EDGE_CURVE('',#3840,#3842,#2014,.T.)!#4847=EDGE_CURVE('',#3843,#3841,#248,.T.)!#4848=EDGE_CURVE('',#3844,#3843,#2015,.T.)!#4849=EDGE_CURVE('',#3842,#3844,#249,.T.)!#4850=EDGE_CURVE('',#3845,#3843,#250,.T.)!#4851=EDGE_CURVE('',#3846,#3845,#2016,.T.)!#4852=EDGE_CURVE('',#3844,#3846,#251,.T.)!#4853=EDGE_CURVE('',#3847,#3845,#252,.T.)!#4854=EDGE_CURVE('',#3848,#3847,#2017,.T.)!#4855=EDGE_CURVE('',#3846,#3848,#253,.T.)!#4856=EDGE_CURVE('',#3796,#3847,#254,.T.)!#4857=EDGE_CURVE('',#3848,#3798,#255,.T.)!#4858=EDGE_CURVE('',#3849,#3850,#256,.T.)!#4859=EDGE_CURVE('',#3850,#3849,#257,.T.)!#4860=EDGE_CURVE('',#3850,#3851,#2018,.T.)!#4861=EDGE_CURVE('',#3852,#3851,#258,.T.)!#4862=EDGE_CURVE('',#3851,#3852,#259,.T.)!#4863=EDGE_CURVE('',#3853,#3854,#361,.T.)!#4864=EDGE_CURVE('',#3855,#3853,#3219,.T.)!#4865=EDGE_CURVE('',#3854,#3855,#362,.T.)!#4866=EDGE_CURVE('',#3856,#3857,#363,.T.)!#4867=EDGE_CURVE('',#3855,#3856,#364,.T.)!#4868=EDGE_CURVE('',#3857,#3855,#3220,.T.)!#4869=EDGE_CURVE('',#3858,#3859,#365,.T.)!#4870=EDGE_CURVE('',#3853,#3858,#3221,.T.)!#4871=EDGE_CURVE('',#3859,#3853,#366,.T.)!#4872=EDGE_CURVE('',#3857,#3860,#367,.T.)!#4873=EDGE_CURVE('',#3858,#3857,#3222,.T.)!#4874=EDGE_CURVE('',#3860,#3858,#368,.T.)!#4875=EDGE_CURVE('',#3861,#3859,#2019,.T.)!#4876=EDGE_CURVE('',#3862,#3861,#2020,.T.)!#4877=EDGE_CURVE('',#3862,#3860,#2021,.T.)!#4878=EDGE_CURVE('',#3863,#3854,#2022,.T.)!#4879=EDGE_CURVE('',#3861,#3863,#2023,.T.)!#4880=EDGE_CURVE('',#3864,#3862,#2024,.T.)!#4881=EDGE_CURVE('',#3864,#3856,#2025,.T.)!#4882=EDGE_CURVE('',#3863,#3864,#2026,.T.)!#4883=EDGE_CURVE('',#3865,#3866,#2027,.T.)!#4884=EDGE_CURVE('',#3867,#3865,#2028,.T.)!#4885=EDGE_CURVE('',#3867,#3868,#2029,.T.)!#4886=EDGE_CURVE('',#3866,#3868,#2030,.T.)!#4887=EDGE_CURVE('',#3869,#3870,#2031,.T.)!#4888=EDGE_CURVE('',#3870,#3871,#2032,.T.)!#4889=EDGE_CURVE('',#3871,#3872,#2033,.T.)!#4890=EDGE_CURVE('',#3872,#3869,#2034,.T.)!#4891=EDGE_CURVE('',#3873,#3874,#260,.T.)!#4892=EDGE_CURVE('',#3874,#3873,#261,.T.)!#4893=EDGE_CURVE('',#3874,#3875,#2035,.T.)!#4894=EDGE_CURVE('',#3876,#3875,#262,.T.)!#4895=EDGE_CURVE('',#3875,#3876,#263,.T.)!#4896=EDGE_CURVE('',#3877,#3878,#369,.T.)!#4897=EDGE_CURVE('',#3879,#3877,#3223,.T.)!#4898=EDGE_CURVE('',#3878,#3879,#370,.T.)!#4899=EDGE_CURVE('',#3880,#3881,#371,.T.)!#4900=EDGE_CURVE('',#3879,#3880,#372,.T.)!#4901=EDGE_CURVE('',#3881,#3879,#3224,.T.)!#4902=EDGE_CURVE('',#3882,#3883,#373,.T.)!#4903=EDGE_CURVE('',#3877,#3882,#3225,.T.)!#4904=EDGE_CURVE('',#3883,#3877,#374,.T.)!#4905=EDGE_CURVE('',#3881,#3884,#375,.T.)!#4906=EDGE_CURVE('',#3882,#3881,#3226,.T.)!#4907=EDGE_CURVE('',#3884,#3882,#376,.T.)!#4908=EDGE_CURVE('',#3870,#3883,#2036,.T.)!#4909=EDGE_CURVE('',#3869,#3884,#2037,.T.)!#4910=EDGE_CURVE('',#3871,#3878,#2038,.T.)!#4911=EDGE_CURVE('',#3872,#3880,#2039,.T.)!#4912=EDGE_CURVE('',#3885,#3886,#3227,.T.)!#4913=EDGE_CURVE('',#3886,#3887,#3228,.T.)!#4914=EDGE_CURVE('',#3886,#3885,#3229,.T.)!#4915=EDGE_CURVE('',#3888,#3889,#3230,.T.)!#4916=EDGE_CURVE('',#3889,#3888,#3231,.T.)!#4917=EDGE_CURVE('',#3889,#3890,#2040,.T.)!#4918=EDGE_CURVE('',#3891,#3890,#3232,.T.)!#4919=EDGE_CURVE('',#3890,#3891,#3233,.T.)!#4920=EDGE_CURVE('',#3886,#3889,#2041,.T.)!#4921=EDGE_CURVE('',#3892,#3893,#2042,.T.)!#4922=EDGE_CURVE('',#3894,#3892,#3234,.T.)!#4923=EDGE_CURVE('',#3895,#3894,#2043,.T.)!#4924=EDGE_CURVE('',#3896,#3895,#3235,.T.)!#4925=EDGE_CURVE('',#3897,#3896,#2044,.T.)!#4926=EDGE_CURVE('',#3897,#3898,#2045,.T.)!#4927=EDGE_CURVE('',#3898,#3866,#2046,.T.)!#4928=EDGE_CURVE('',#3868,#3899,#2047,.T.)!#4929=EDGE_CURVE('',#3899,#3893,#2048,.T.)!#4930=EDGE_CURVE('',#3900,#3900,#3236,.T.)!#4931=EDGE_CURVE('',#3901,#3901,#3237,.T.)!#4932=EDGE_CURVE('',#3901,#3902,#2049,.T.)!#4933=EDGE_CURVE('',#3902,#3902,#3238,.T.)!#4934=EDGE_CURVE('',#3900,#3903,#2050,.T.)!#4935=EDGE_CURVE('',#3903,#3903,#3239,.T.)!#4936=EDGE_CURVE('',#3904,#3905,#3240,.T.)!#4937=EDGE_CURVE('',#3905,#3904,#3241,.T.)!#4938=EDGE_CURVE('',#3905,#3669,#2051,.T.)!#4939=EDGE_CURVE('',#3906,#3907,#3242,.T.)!#4940=EDGE_CURVE('',#3907,#3906,#3243,.T.)!#4941=EDGE_CURVE('',#3907,#3908,#2052,.T.)!#4942=EDGE_CURVE('',#3908,#3908,#3244,.T.)!#4943=EDGE_CURVE('',#3909,#3867,#2053,.T.)!#4944=EDGE_CURVE('',#3909,#3899,#2054,.T.)!#4945=EDGE_CURVE('',#3910,#3909,#2055,.T.)!#4946=EDGE_CURVE('',#3898,#3910,#2056,.T.)!#4947=EDGE_CURVE('',#3893,#3897,#2057,.T.)!#4948=EDGE_CURVE('',#3865,#3910,#2058,.T.)!#4949=EDGE_CURVE('',#3911,#3897,#2059,.T.)!#4950=EDGE_CURVE('',#3911,#3912,#2060,.T.)!#4951=EDGE_CURVE('',#3893,#3912,#2061,.T.)!#4952=EDGE_CURVE('',#3913,#3914,#264,.T.)!#4953=EDGE_CURVE('',#3914,#3913,#265,.T.)!#4954=EDGE_CURVE('',#3914,#3915,#2062,.T.)!#4955=EDGE_CURVE('',#3916,#3915,#266,.T.)!#4956=EDGE_CURVE('',#3915,#3916,#267,.T.)!#4957=EDGE_CURVE('',#3917,#3918,#377,.T.)!#4958=EDGE_CURVE('',#3919,#3917,#3245,.T.)!#4959=EDGE_CURVE('',#3918,#3919,#378,.T.)!#4960=EDGE_CURVE('',#3920,#3921,#379,.T.)!#4961=EDGE_CURVE('',#3919,#3920,#380,.T.)!#4962=EDGE_CURVE('',#3921,#3919,#3246,.T.)!#4963=EDGE_CURVE('',#3922,#3923,#381,.T.)!#4964=EDGE_CURVE('',#3917,#3922,#3247,.T.)!#4965=EDGE_CURVE('',#3923,#3917,#382,.T.)!#4966=EDGE_CURVE('',#3921,#3924,#383,.T.)!#4967=EDGE_CURVE('',#3922,#3921,#3248,.T.)!#4968=EDGE_CURVE('',#3924,#3922,#384,.T.)!#4969=EDGE_CURVE('',#3925,#3923,#2063,.T.)!#4970=EDGE_CURVE('',#3926,#3925,#2064,.T.)!#4971=EDGE_CURVE('',#3926,#3924,#2065,.T.)!#4972=EDGE_CURVE('',#3927,#3918,#2066,.T.)!#4973=EDGE_CURVE('',#3925,#3927,#2067,.T.)!#4974=EDGE_CURVE('',#3928,#3926,#2068,.T.)!#4975=EDGE_CURVE('',#3928,#3920,#2069,.T.)!#4976=EDGE_CURVE('',#3927,#3928,#2070,.T.)!#4977=EDGE_CURVE('',#3929,#3930,#2071,.T.)!#4978=EDGE_CURVE('',#3931,#3929,#2072,.T.)!#4979=EDGE_CURVE('',#3931,#3932,#2073,.T.)!#4980=EDGE_CURVE('',#3930,#3932,#2074,.T.)!#4981=EDGE_CURVE('',#3933,#3934,#2075,.T.)!#4982=EDGE_CURVE('',#3934,#3935,#2076,.T.)!#4983=EDGE_CURVE('',#3935,#3936,#2077,.T.)!#4984=EDGE_CURVE('',#3936,#3933,#2078,.T.)!#4985=EDGE_CURVE('',#3937,#3938,#268,.T.)!#4986=EDGE_CURVE('',#3938,#3937,#269,.T.)!#4987=EDGE_CURVE('',#3938,#3939,#2079,.T.)!#4988=EDGE_CURVE('',#3940,#3939,#270,.T.)!#4989=EDGE_CURVE('',#3939,#3940,#271,.T.)!#4990=EDGE_CURVE('',#3941,#3942,#385,.T.)!#4991=EDGE_CURVE('',#3943,#3941,#3249,.T.)!#4992=EDGE_CURVE('',#3942,#3943,#386,.T.)!#4993=EDGE_CURVE('',#3944,#3945,#387,.T.)!#4994=EDGE_CURVE('',#3943,#3944,#388,.T.)!#4995=EDGE_CURVE('',#3945,#3943,#3250,.T.)!#4996=EDGE_CURVE('',#3946,#3947,#389,.T.)!#4997=EDGE_CURVE('',#3941,#3946,#3251,.T.)!#4998=EDGE_CURVE('',#3947,#3941,#390,.T.)!#4999=EDGE_CURVE('',#3945,#3948,#391,.T.)!#5000=EDGE_CURVE('',#3946,#3945,#3252,.T.)!#5001=EDGE_CURVE('',#3948,#3946,#392,.T.)!#5002=EDGE_CURVE('',#3934,#3947,#2080,.T.)!#5003=EDGE_CURVE('',#3933,#3948,#2081,.T.)!#5004=EDGE_CURVE('',#3935,#3942,#2082,.T.)!#5005=EDGE_CURVE('',#3936,#3944,#2083,.T.)!#5006=EDGE_CURVE('',#3949,#3950,#3253,.T.)!#5007=EDGE_CURVE('',#3950,#3951,#3254,.T.)!#5008=EDGE_CURVE('',#3950,#3949,#3255,.T.)!#5009=EDGE_CURVE('',#3952,#3953,#3256,.T.)!#5010=EDGE_CURVE('',#3953,#3952,#3257,.T.)!#5011=EDGE_CURVE('',#3953,#3954,#2084,.T.)!#5012=EDGE_CURVE('',#3955,#3954,#3258,.T.)!#5013=EDGE_CURVE('',#3954,#3955,#3259,.T.)!#5014=EDGE_CURVE('',#3950,#3953,#2085,.T.)!#5015=EDGE_CURVE('',#3956,#3956,#3260,.T.)!#5016=EDGE_CURVE('',#3956,#3957,#2086,.T.)!#5017=EDGE_CURVE('',#3957,#3957,#3261,.T.)!#5018=EDGE_CURVE('',#3958,#3958,#3262,.T.)!#5019=EDGE_CURVE('',#3958,#3959,#2087,.T.)!#5020=EDGE_CURVE('',#3959,#3959,#3263,.T.)!#5021=EDGE_CURVE('',#3960,#3961,#3264,.T.)!#5022=EDGE_CURVE('',#3961,#3960,#3265,.T.)!#5023=EDGE_CURVE('',#3960,#3962,#2088,.T.)!#5024=EDGE_CURVE('',#3962,#3962,#3266,.T.)!#5025=EDGE_CURVE('',#3690,#3963,#2089,.T.)!#5026=EDGE_CURVE('',#3963,#3963,#3267,.T.)!#5027=EDGE_CURVE('',#3964,#3930,#2090,.T.)!#5028=EDGE_CURVE('',#3932,#3965,#2091,.T.)!#5029=EDGE_CURVE('',#3965,#3964,#2092,.T.)!#5030=EDGE_CURVE('',#3966,#3931,#2093,.T.)!#5031=EDGE_CURVE('',#3966,#3965,#2094,.T.)!#5032=EDGE_CURVE('',#3967,#3966,#2095,.T.)!#5033=EDGE_CURVE('',#3968,#3967,#2096,.T.)!#5034=EDGE_CURVE('',#3969,#3968,#2097,.T.)!#5035=EDGE_CURVE('',#3964,#3969,#2098,.T.)!#5036=EDGE_CURVE('',#3929,#3969,#2099,.T.)!#5037=EDGE_CURVE('',#3967,#3970,#2100,.T.)!#5038=EDGE_CURVE('',#3971,#3968,#2101,.T.)!#5039=EDGE_CURVE('',#3972,#3971,#3268,.T.)!#5040=EDGE_CURVE('',#3972,#3973,#2102,.T.)!#5041=EDGE_CURVE('',#3970,#3973,#3269,.T.)!#5042=EDGE_CURVE('',#3974,#3975,#3270,.T.)!#5043=EDGE_CURVE('',#3975,#3974,#3271,.T.)!#5044=EDGE_CURVE('',#3976,#3977,#3272,.T.)!#5045=EDGE_CURVE('',#3977,#3978,#3273,.T.)!#5046=EDGE_CURVE('',#3978,#3979,#3274,.T.)!#5047=EDGE_CURVE('',#3979,#3978,#3275,.T.)!#5048=EDGE_CURVE('',#3977,#3976,#3276,.T.)!#5049=EDGE_CURVE('',#3976,#3980,#2103,.T.)!#5050=EDGE_CURVE('',#3980,#3981,#3277,.T.)!#5051=EDGE_CURVE('',#3981,#3980,#3278,.T.)!#5052=EDGE_CURVE('',#3982,#3983,#3279,.T.)!#5053=EDGE_CURVE('',#3983,#3982,#3280,.T.)!#5054=EDGE_CURVE('',#3983,#3979,#2104,.T.)!#5055=EDGE_CURVE('',#3984,#3985,#3281,.T.)!#5056=EDGE_CURVE('',#3985,#3986,#3282,.T.)!#5057=EDGE_CURVE('',#3986,#3987,#3283,.T.)!#5058=EDGE_CURVE('',#3987,#3986,#3284,.T.)!#5059=EDGE_CURVE('',#3985,#3984,#3285,.T.)!#5060=EDGE_CURVE('',#3988,#3989,#3286,.T.)!#5061=EDGE_CURVE('',#3989,#3990,#3287,.T.)!#5062=EDGE_CURVE('',#3990,#3987,#2105,.T.)!#5063=EDGE_CURVE('',#3990,#3988,#3288,.T.)!#5064=EDGE_CURVE('',#3991,#3992,#3289,.T.)!#5065=EDGE_CURVE('',#3992,#3991,#3290,.T.)!#5066=EDGE_CURVE('',#3993,#3994,#3291,.T.)!#5067=EDGE_CURVE('',#3994,#3995,#3292,.T.)!#5068=EDGE_CURVE('',#3995,#3996,#3293,.T.)!#5069=EDGE_CURVE('',#3996,#3995,#3294,.T.)!#5070=EDGE_CURVE('',#3994,#3993,#3295,.T.)!#5071=EDGE_CURVE('',#3997,#3998,#3296,.T.)!#5072=EDGE_CURVE('',#3998,#3997,#3297,.T.)!#5073=EDGE_CURVE('',#3999,#4000,#3298,.T.)!#5074=EDGE_CURVE('',#4001,#3999,#3299,.T.)!#5075=EDGE_CURVE('',#4001,#3993,#2106,.T.)!#5076=EDGE_CURVE('',#4000,#4001,#3300,.T.)!#5077=EDGE_CURVE('',#4000,#3989,#3301,.T.)!#5078=EDGE_CURVE('',#3980,#3992,#2107,.T.)!#5079=EDGE_CURVE('',#3975,#3998,#2108,.T.)!#5080=EDGE_CURVE('',#4002,#4003,#3302,.T.)!#5081=EDGE_CURVE('',#4003,#4002,#3303,.T.)!#5082=EDGE_CURVE('',#4004,#4005,#3304,.T.)!#5083=EDGE_CURVE('',#4005,#4004,#3305,.T.)!#5084=EDGE_CURVE('',#4006,#4007,#3306,.T.)!#5085=EDGE_CURVE('',#4007,#4006,#3307,.T.)!#5086=EDGE_CURVE('',#4008,#4009,#3308,.T.)!#5087=EDGE_CURVE('',#4009,#4010,#3309,.T.)!#5088=EDGE_CURVE('',#4010,#4011,#3310,.T.)!#5089=EDGE_CURVE('',#4011,#4010,#3311,.T.)!#5090=EDGE_CURVE('',#4009,#4008,#3312,.T.)!#5091=EDGE_CURVE('',#4008,#4012,#2109,.T.)!#5092=EDGE_CURVE('',#4012,#4013,#3313,.T.)!#5093=EDGE_CURVE('',#4013,#4012,#3314,.T.)!#5094=EDGE_CURVE('',#4003,#4011,#2110,.T.)!#5095=EDGE_CURVE('',#4014,#4015,#3315,.T.)!#5096=EDGE_CURVE('',#4015,#4016,#3316,.T.)!#5097=EDGE_CURVE('',#4016,#4017,#3317,.T.)!#5098=EDGE_CURVE('',#4017,#4016,#3318,.T.)!#5099=EDGE_CURVE('',#4015,#4014,#3319,.T.)!#5100=EDGE_CURVE('',#4018,#4019,#3320,.T.)!#5101=EDGE_CURVE('',#4019,#4020,#3321,.T.)!#5102=EDGE_CURVE('',#4020,#4017,#2111,.T.)!#5103=EDGE_CURVE('',#4020,#4018,#3322,.T.)!#5104=EDGE_CURVE('',#4021,#4022,#3323,.T.)!#5105=EDGE_CURVE('',#4022,#4021,#3324,.T.)!#5106=EDGE_CURVE('',#4023,#4024,#3325,.T.)!#5107=EDGE_CURVE('',#4024,#4025,#3326,.T.)!#5108=EDGE_CURVE('',#4025,#4026,#3327,.T.)!#5109=EDGE_CURVE('',#4026,#4025,#3328,.T.)!#5110=EDGE_CURVE('',#4024,#4023,#3329,.T.)!#5111=EDGE_CURVE('',#4027,#4028,#3330,.T.)!#5112=EDGE_CURVE('',#4028,#4027,#3331,.T.)!#5113=EDGE_CURVE('',#4029,#4030,#3332,.T.)!#5114=EDGE_CURVE('',#4031,#4029,#3333,.T.)!#5115=EDGE_CURVE('',#4031,#4023,#2112,.T.)!#5116=EDGE_CURVE('',#4030,#4031,#3334,.T.)!#5117=EDGE_CURVE('',#4030,#4019,#3335,.T.)!#5118=EDGE_CURVE('',#4012,#4022,#2113,.T.)!#5119=EDGE_CURVE('',#4007,#4028,#2114,.T.)!#5120=EDGE_CURVE('',#4032,#4033,#393,.T.)!#5121=EDGE_CURVE('',#4034,#4032,#3336,.T.)!#5122=EDGE_CURVE('',#4033,#4034,#394,.T.)!#5123=EDGE_CURVE('',#4035,#4036,#395,.T.)!#5124=EDGE_CURVE('',#4034,#4035,#396,.T.)!#5125=EDGE_CURVE('',#4036,#4034,#3337,.T.)!#5126=EDGE_CURVE('',#4037,#4038,#397,.T.)!#5127=EDGE_CURVE('',#4032,#4037,#3338,.T.)!#5128=EDGE_CURVE('',#4038,#4032,#398,.T.)!#5129=EDGE_CURVE('',#4039,#4040,#399,.T.)!#5130=EDGE_CURVE('',#4036,#4039,#400,.T.)!#5131=EDGE_CURVE('',#4040,#4036,#3339,.T.)!#5132=EDGE_CURVE('',#4041,#4042,#401,.T.)!#5133=EDGE_CURVE('',#4037,#4041,#3340,.T.)!#5134=EDGE_CURVE('',#4042,#4037,#402,.T.)!#5135=EDGE_CURVE('',#4043,#4041,#403,.T.)!#5136=EDGE_CURVE('',#4040,#4043,#404,.T.)!#5137=EDGE_CURVE('',#4041,#4040,#3341,.T.)!#5138=EDGE_CURVE('',#4044,#4042,#2115,.T.)!#5139=EDGE_CURVE('',#4045,#4044,#2116,.T.)!#5140=EDGE_CURVE('',#4045,#4043,#2117,.T.)!#5141=EDGE_CURVE('',#4046,#4038,#2118,.T.)!#5142=EDGE_CURVE('',#4044,#4046,#2119,.T.)!#5143=EDGE_CURVE('',#4047,#4033,#2120,.T.)!#5144=EDGE_CURVE('',#4046,#4047,#2121,.T.)!#5145=EDGE_CURVE('',#4048,#4035,#2122,.T.)!#5146=EDGE_CURVE('',#4047,#4048,#2123,.T.)!#5147=EDGE_CURVE('',#4049,#4039,#2124,.T.)!#5148=EDGE_CURVE('',#4048,#4049,#2125,.T.)!#5149=EDGE_CURVE('',#4049,#4045,#2126,.T.)!#5150=EDGE_CURVE('',#4050,#4051,#2127,.T.)!#5151=EDGE_CURVE('',#4050,#4052,#2128,.T.)!#5152=EDGE_CURVE('',#4052,#4051,#2129,.T.)!#5153=EDGE_CURVE('',#4053,#4054,#2130,.T.)!#5154=EDGE_CURVE('',#4054,#4055,#2131,.T.)!#5155=EDGE_CURVE('',#4055,#4056,#2132,.T.)!#5156=EDGE_CURVE('',#4056,#4057,#2133,.T.)!#5157=EDGE_CURVE('',#4057,#4058,#2134,.T.)!#5158=EDGE_CURVE('',#4058,#4053,#3342,.T.)!#5159=EDGE_CURVE('',#4059,#4059,#3343,.T.)!#5160=EDGE_CURVE('',#4060,#4061,#2135,.T.)!#5161=EDGE_CURVE('',#4060,#4062,#2136,.T.)!#5162=EDGE_CURVE('',#4062,#4061,#2137,.T.)!#5163=EDGE_CURVE('',#4063,#4064,#2138,.T.)!#5164=EDGE_CURVE('',#4063,#4065,#2139,.T.)!#5165=EDGE_CURVE('',#4065,#4066,#2140,.T.)!#5166=EDGE_CURVE('',#4066,#4064,#2141,.T.)!#5167=EDGE_CURVE('',#4067,#4068,#272,.T.)!#5168=EDGE_CURVE('',#4068,#4067,#273,.T.)!#5169=EDGE_CURVE('',#4068,#4059,#2142,.T.)!#5170=EDGE_CURVE('',#4069,#4070,#2143,.T.)!#5171=EDGE_CURVE('',#4070,#4071,#2144,.T.)!#5172=EDGE_CURVE('',#4071,#4072,#2145,.T.)!#5173=EDGE_CURVE('',#4072,#4069,#2146,.T.)!#5174=EDGE_CURVE('',#4073,#4071,#2147,.T.)!#5175=EDGE_CURVE('',#4070,#4074,#2148,.T.)!#5176=EDGE_CURVE('',#4074,#4073,#2149,.T.)!#5177=EDGE_CURVE('',#4075,#4073,#2150,.T.)!#5178=EDGE_CURVE('',#4074,#4076,#2151,.T.)!#5179=EDGE_CURVE('',#4076,#4075,#2152,.T.)!#5180=EDGE_CURVE('',#4077,#4069,#2153,.T.)!#5181=EDGE_CURVE('',#4072,#4078,#2154,.T.)!#5182=EDGE_CURVE('',#4077,#4078,#2155,.T.)!#5183=EDGE_CURVE('',#4079,#4075,#2156,.T.)!#5184=EDGE_CURVE('',#4076,#4080,#2157,.T.)!#5185=EDGE_CURVE('',#4080,#4079,#2158,.T.)!#5186=EDGE_CURVE('',#4078,#4079,#2159,.T.)!#5187=EDGE_CURVE('',#4080,#4077,#2160,.T.)!#5188=EDGE_CURVE('',#4078,#4066,#2161,.T.)!#5189=EDGE_CURVE('',#4079,#4065,#2162,.T.)!#5190=EDGE_CURVE('',#4072,#4062,#2163,.T.)!#5191=EDGE_CURVE('',#4064,#4060,#2164,.T.)!#5192=EDGE_CURVE('',#4071,#4055,#2165,.T.)!#5193=EDGE_CURVE('',#4061,#4054,#2166,.T.)!#5194=EDGE_CURVE('',#4051,#4063,#2167,.T.)!#5195=EDGE_CURVE('',#4075,#4052,#2168,.T.)!#5196=EDGE_CURVE('',#4057,#4050,#2169,.T.)!#5197=EDGE_CURVE('',#4073,#4056,#2170,.T.)!#5198=EDGE_CURVE('',#4054,#4081,#2171,.T.)!#5199=EDGE_CURVE('',#4081,#4082,#2172,.T.)!#5200=EDGE_CURVE('',#4061,#4082,#2173,.T.)!#5201=EDGE_CURVE('',#4083,#4050,#2174,.T.)!#5202=EDGE_CURVE('',#4083,#4084,#2175,.T.)!#5203=EDGE_CURVE('',#4084,#4057,#2176,.T.)!#5204=EDGE_CURVE('',#4085,#4063,#2177,.T.)!#5205=EDGE_CURVE('',#4051,#4085,#2178,.T.)!#5206=EDGE_CURVE('',#4086,#4060,#2179,.T.)!#5207=EDGE_CURVE('',#4064,#4086,#2180,.T.)!#5208=EDGE_CURVE('',#4087,#4088,#3344,.T.)!#5209=EDGE_CURVE('',#4089,#4087,#2181,.T.)!#5210=EDGE_CURVE('',#4090,#4089,#3345,.T.)!#5211=EDGE_CURVE('',#4090,#4088,#2182,.T.)!#5212=EDGE_CURVE('',#4091,#4092,#3346,.T.)!#5213=EDGE_CURVE('',#4092,#4093,#2183,.T.)!#5214=EDGE_CURVE('',#4094,#4093,#3347,.T.)!#5215=EDGE_CURVE('',#4091,#4094,#2184,.T.)!#5216=EDGE_CURVE('',#4095,#4096,#274,.T.)!#5217=EDGE_CURVE('',#4053,#4095,#2185,.T.)!#5218=EDGE_CURVE('',#4058,#4096,#2186,.T.)!#5219=EDGE_CURVE('',#4096,#4097,#3348,.T.)!#5220=EDGE_CURVE('',#4097,#4084,#2187,.T.)!#5221=EDGE_CURVE('',#4098,#4095,#3349,.T.)!#5222=EDGE_CURVE('',#4081,#4098,#2188,.T.)!#5223=EDGE_CURVE('',#4097,#4099,#2189,.T.)!#5224=EDGE_CURVE('',#4100,#4099,#3350,.T.)!#5225=EDGE_CURVE('',#4100,#4101,#2190,.T.)!#5226=EDGE_CURVE('',#4102,#4101,#3351,.T.)!#5227=EDGE_CURVE('',#4102,#4098,#2191,.T.)!#5228=EDGE_CURVE('',#4099,#4083,#2192,.T.)!#5229=EDGE_CURVE('',#4085,#4090,#3352,.T.)!#5230=EDGE_CURVE('',#4089,#4100,#2193,.T.)!#5231=EDGE_CURVE('',#4082,#4102,#2194,.T.)!#5232=EDGE_CURVE('',#4093,#4086,#3353,.T.)!#5233=EDGE_CURVE('',#4088,#4092,#2195,.T.)!#5234=EDGE_CURVE('',#4091,#4087,#2196,.T.)!#5235=EDGE_CURVE('',#4101,#4094,#2197,.T.)!#5236=EDGE_CURVE('',#4103,#4104,#3354,.T.)!#5237=EDGE_CURVE('',#4103,#4105,#2198,.T.)!#5238=EDGE_CURVE('',#4105,#4106,#2199,.T.)!#5239=EDGE_CURVE('',#4106,#4104,#3355,.T.)!#5240=EDGE_CURVE('',#4107,#4103,#2200,.T.)!#5241=EDGE_CURVE('',#4108,#4107,#2201,.T.)!#5242=EDGE_CURVE('',#4108,#4105,#2202,.T.)!#5243=EDGE_CURVE('',#4109,#4108,#2203,.T.)!#5244=EDGE_CURVE('',#4109,#4106,#2204,.T.)!#5245=EDGE_CURVE('',#4110,#4109,#3356,.T.)!#5246=EDGE_CURVE('',#4104,#4110,#2205,.T.)!#5247=EDGE_CURVE('',#4107,#4110,#3357,.T.)!#5248=EDGE_CURVE('',#4092,#4111,#3358,.T.)!#5249=EDGE_CURVE('',#4111,#4112,#2206,.T.)!#5250=EDGE_CURVE('',#4112,#4113,#3359,.T.)!#5251=EDGE_CURVE('',#4113,#4114,#2207,.T.)!#5252=EDGE_CURVE('',#4114,#4115,#3360,.T.)!#5253=EDGE_CURVE('',#4115,#4116,#2208,.T.)!#5254=EDGE_CURVE('',#4088,#4116,#3361,.T.)!#5255=EDGE_CURVE('',#4117,#4087,#3362,.T.)!#5256=EDGE_CURVE('',#4117,#4118,#2209,.T.)!#5257=EDGE_CURVE('',#4118,#4091,#3363,.T.)!#5258=EDGE_CURVE('',#4119,#4103,#3364,.T.)!#5259=EDGE_CURVE('',#4119,#4120,#2210,.T.)!#5260=EDGE_CURVE('',#4120,#4107,#3365,.T.)!#5261=EDGE_CURVE('',#4121,#4122,#2211,.T.)!#5262=EDGE_CURVE('',#4123,#4122,#3366,.T.)!#5263=EDGE_CURVE('',#4124,#4123,#2212,.T.)!#5264=EDGE_CURVE('',#4112,#4124,#3367,.T.)!#5265=EDGE_CURVE('',#4112,#4115,#2213,.T.)!#5266=EDGE_CURVE('',#4125,#4115,#3368,.T.)!#5267=EDGE_CURVE('',#4126,#4125,#2214,.T.)!#5268=EDGE_CURVE('',#4121,#4126,#3369,.T.)!#5269=EDGE_CURVE('',#4126,#4127,#3370,.T.)!#5270=EDGE_CURVE('',#4127,#4128,#2215,.T.)!#5271=EDGE_CURVE('',#4128,#4119,#2216,.T.)!#5272=EDGE_CURVE('',#4121,#4119,#3371,.T.)!#5273=EDGE_CURVE('',#4129,#4123,#3372,.T.)!#5274=EDGE_CURVE('',#4122,#4120,#3373,.T.)!#5275=EDGE_CURVE('',#4120,#4130,#2217,.T.)!#5276=EDGE_CURVE('',#4130,#4129,#2218,.T.)!#5277=EDGE_CURVE('',#4131,#4124,#3374,.T.)!#5278=EDGE_CURVE('',#4131,#4132,#2219,.T.)!#5279=EDGE_CURVE('',#4132,#4113,#2220,.T.)!#5280=EDGE_CURVE('',#4131,#4133,#2221,.T.)!#5281=EDGE_CURVE('',#4134,#4133,#2222,.T.)!#5282=EDGE_CURVE('',#4132,#4134,#2223,.T.)!#5283=EDGE_CURVE('',#4114,#4134,#2224,.T.)!#5284=EDGE_CURVE('',#4125,#4133,#3375,.T.)!#5285=EDGE_CURVE('',#4129,#4127,#2225,.T.)!#5286=EDGE_CURVE('',#4128,#4130,#2226,.T.)!#5287=EDGE_CURVE('',#4110,#4135,#3376,.T.)!#5288=EDGE_CURVE('',#4135,#4136,#2227,.T.)!#5289=EDGE_CURVE('',#4104,#4136,#3377,.T.)!#5290=EDGE_CURVE('',#4137,#4138,#405,.T.)!#5291=EDGE_CURVE('',#4139,#4137,#2228,.T.)!#5292=EDGE_CURVE('',#4140,#4139,#2229,.T.)!#5293=EDGE_CURVE('',#4141,#4140,#2230,.T.)!#5294=EDGE_CURVE('',#4142,#4141,#2231,.T.)!#5295=EDGE_CURVE('',#4143,#4142,#406,.T.)!#5296=EDGE_CURVE('',#4144,#4143,#2232,.T.)!#5297=EDGE_CURVE('',#4145,#4144,#407,.T.)!#5298=EDGE_CURVE('',#4136,#4145,#275,.T.)!#5299=EDGE_CURVE('',#4146,#4135,#276,.T.)!#5300=EDGE_CURVE('',#4147,#4146,#408,.T.)!#5301=EDGE_CURVE('',#4138,#4147,#2233,.T.)!#5302=EDGE_CURVE('',#4148,#4149,#3378,.T.)!#5303=EDGE_CURVE('',#4148,#4150,#2234,.T.)!#5304=EDGE_CURVE('',#4150,#4144,#3379,.T.)!#5305=EDGE_CURVE('',#4149,#4143,#3380,.T.)!#5306=EDGE_CURVE('',#4138,#4151,#3381,.T.)!#5307=EDGE_CURVE('',#4152,#4147,#3382,.T.)!#5308=EDGE_CURVE('',#4152,#4153,#2235,.T.)!#5309=EDGE_CURVE('',#4151,#4153,#3383,.T.)!#5310=EDGE_CURVE('',#4153,#4154,#409,.T.)!#5311=EDGE_CURVE('',#4155,#4152,#410,.T.)!#5312=EDGE_CURVE('',#4122,#4155,#277,.T.)!#5313=EDGE_CURVE('',#4156,#4121,#278,.T.)!#5314=EDGE_CURVE('',#4150,#4156,#411,.T.)!#5315=EDGE_CURVE('',#4157,#4148,#412,.T.)!#5316=EDGE_CURVE('',#4158,#4157,#2236,.T.)!#5317=EDGE_CURVE('',#4159,#4158,#2237,.T.)!#5318=EDGE_CURVE('',#4160,#4159,#2238,.T.)!#5319=EDGE_CURVE('',#4154,#4160,#2239,.T.)!#5320=EDGE_CURVE('',#4155,#4146,#3384,.T.)!#5321=EDGE_CURVE('',#4156,#4145,#3385,.T.)!#5322=EDGE_CURVE('',#4161,#4162,#413,.T.)!#5323=EDGE_CURVE('',#4163,#4161,#2240,.T.)!#5324=EDGE_CURVE('',#4164,#4163,#2241,.T.)!#5325=EDGE_CURVE('',#4165,#4164,#2242,.T.)!#5326=EDGE_CURVE('',#4166,#4165,#2243,.T.)!#5327=EDGE_CURVE('',#4167,#4166,#414,.T.)!#5328=EDGE_CURVE('',#4168,#4167,#2244,.T.)!#5329=EDGE_CURVE('',#4169,#4168,#415,.T.)!#5330=EDGE_CURVE('',#4116,#4169,#279,.T.)!#5331=EDGE_CURVE('',#4170,#4111,#280,.T.)!#5332=EDGE_CURVE('',#4171,#4170,#416,.T.)!#5333=EDGE_CURVE('',#4162,#4171,#2245,.T.)!#5334=EDGE_CURVE('',#4172,#4167,#3386,.T.)!#5335=EDGE_CURVE('',#4172,#4173,#2246,.T.)!#5336=EDGE_CURVE('',#4173,#4168,#3387,.T.)!#5337=EDGE_CURVE('',#4162,#4174,#3388,.T.)!#5338=EDGE_CURVE('',#4175,#4171,#3389,.T.)!#5339=EDGE_CURVE('',#4175,#4174,#2247,.T.)!#5340=EDGE_CURVE('',#4174,#4176,#417,.T.)!#5341=EDGE_CURVE('',#4177,#4175,#418,.T.)!#5342=EDGE_CURVE('',#4118,#4177,#281,.T.)!#5343=EDGE_CURVE('',#4178,#4117,#282,.T.)!#5344=EDGE_CURVE('',#4173,#4178,#419,.T.)!#5345=EDGE_CURVE('',#4179,#4172,#420,.T.)!#5346=EDGE_CURVE('',#4180,#4179,#2248,.T.)!#5347=EDGE_CURVE('',#4181,#4180,#2249,.T.)!#5348=EDGE_CURVE('',#4182,#4181,#2250,.T.)!#5349=EDGE_CURVE('',#4176,#4182,#2251,.T.)!#5350=EDGE_CURVE('',#4178,#4169,#3390,.T.)!#5351=EDGE_CURVE('',#4177,#4170,#3391,.T.)!#5352=EDGE_CURVE('',#4137,#4176,#3392,.T.)!#5353=EDGE_CURVE('',#4182,#4139,#3393,.T.)!#5354=EDGE_CURVE('',#4160,#4163,#3394,.T.)!#5355=EDGE_CURVE('',#4161,#4154,#3395,.T.)!#5356=EDGE_CURVE('',#4149,#4183,#2252,.T.)!#5357=EDGE_CURVE('',#4183,#4184,#3396,.T.)!#5358=EDGE_CURVE('',#4184,#4185,#3397,.T.)!#5359=EDGE_CURVE('',#4185,#4183,#3398,.T.)!#5360=EDGE_CURVE('',#4179,#4142,#3399,.T.)!#5361=EDGE_CURVE('',#4157,#4166,#3400,.T.)!#5362=EDGE_CURVE('',#4181,#4140,#3401,.T.)!#5363=EDGE_CURVE('',#4159,#4164,#3402,.T.)!#5364=EDGE_CURVE('',#4180,#4141,#3403,.T.)!#5365=EDGE_CURVE('',#4158,#4165,#3404,.T.)!#5366=EDGE_CURVE('',#4186,#4187,#3405,.T.)!#5367=EDGE_CURVE('',#4187,#4188,#3406,.T.)!#5368=EDGE_CURVE('',#4189,#4188,#3407,.T.)!#5369=EDGE_CURVE('',#4190,#4189,#3408,.T.)!#5370=EDGE_CURVE('',#4188,#4190,#3409,.T.)!#5371=EDGE_CURVE('',#4187,#4191,#3410,.T.)!#5372=EDGE_CURVE('',#4191,#4186,#3411,.T.)!#5373=EDGE_CURVE('',#4191,#4192,#2253,.T.)!#5374=EDGE_CURVE('',#4192,#4192,#3412,.T.)!#5375=EDGE_CURVE('',#4193,#4194,#3413,.T.)!#5376=EDGE_CURVE('',#4194,#4195,#3414,.T.)!#5377=EDGE_CURVE('',#4195,#4193,#3415,.T.)!#5378=EDGE_CURVE('',#4196,#4197,#3416,.T.)!#5379=EDGE_CURVE('',#4197,#4196,#3417,.T.)!#5380=EDGE_CURVE('',#4197,#4198,#2254,.T.)!#5381=EDGE_CURVE('',#4198,#4199,#3418,.T.)!#5382=EDGE_CURVE('',#4199,#4200,#3419,.T.)!#5383=EDGE_CURVE('',#4200,#4198,#3420,.T.)!#5384=EDGE_CURVE('',#4201,#4202,#3421,.T.)!#5385=EDGE_CURVE('',#4202,#4200,#3422,.T.)!#5386=EDGE_CURVE('',#4202,#4203,#3423,.T.)!#5387=EDGE_CURVE('',#4203,#4201,#3424,.T.)!#5388=EDGE_CURVE('',#4203,#4204,#2255,.T.)!#5389=EDGE_CURVE('',#4204,#4205,#3425,.T.)!#5390=EDGE_CURVE('',#4194,#4205,#283,.T.)!#5391=EDGE_CURVE('',#4206,#4193,#284,.T.)!#5392=EDGE_CURVE('',#4206,#4204,#3426,.T.)!#5393=EDGE_CURVE('',#4205,#4206,#3427,.T.)!#5394=EDGE_CURVE('',#4195,#4207,#3428,.T.)!#5395=EDGE_CURVE('',#4207,#4208,#3429,.T.)!#5396=EDGE_CURVE('',#4208,#4209,#3430,.T.)!#5397=EDGE_CURVE('',#4209,#4210,#285,.T.)!#5398=EDGE_CURVE('',#4210,#4207,#3431,.T.)!#5399=EDGE_CURVE('',#4210,#4211,#286,.T.)!#5400=EDGE_CURVE('',#4211,#4212,#287,.T.)!#5401=EDGE_CURVE('',#4212,#4213,#2256,.T.)!#5402=EDGE_CURVE('',#4213,#4209,#288,.T.)!#5403=EDGE_CURVE('',#4208,#4190,#2257,.T.)!#5404=EDGE_CURVE('',#4214,#4215,#3432,.T.)!#5405=EDGE_CURVE('',#4215,#4214,#3433,.T.)!#5406=EDGE_CURVE('',#4215,#4216,#2258,.T.)!#5407=EDGE_CURVE('',#4216,#4217,#3434,.T.)!#5408=EDGE_CURVE('',#4217,#4216,#3435,.T.)!#5409=EDGE_CURVE('',#4213,#4212,#3436,.T.)!#5410=EDGE_CURVE('',#4216,#4211,#2259,.T.)!#5411=EDGE_CURVE('',#4005,#4218,#2260,.T.)!#5412=EDGE_CURVE('',#4218,#4219,#3437,.T.)!#5413=EDGE_CURVE('',#4219,#4220,#3438,.T.)!#5414=EDGE_CURVE('',#4220,#4218,#3439,.T.)!#5415=EDGE_CURVE('',#4221,#4222,#3440,.T.)!#5416=EDGE_CURVE('',#4222,#4220,#3441,.T.)!#5417=EDGE_CURVE('',#4222,#4221,#3442,.T.)!#5418=EDGE_CURVE('',#4223,#4224,#3443,.T.)!#5419=EDGE_CURVE('',#4224,#4223,#3444,.T.)!#5420=EDGE_CURVE('',#4225,#4226,#3445,.T.)!#5421=EDGE_CURVE('',#4226,#4224,#3446,.T.)!#5422=EDGE_CURVE('',#4226,#4227,#3447,.T.)!#5423=EDGE_CURVE('',#4227,#4225,#3448,.T.)!#5424=EDGE_CURVE('',#4228,#4229,#3449,.T.)!#5425=EDGE_CURVE('',#4229,#4230,#3450,.T.)!#5426=EDGE_CURVE('',#4230,#4227,#2261,.T.)!#5427=EDGE_CURVE('',#4230,#4228,#3451,.T.)!#5428=EDGE_CURVE('',#4229,#4231,#3452,.T.)!#5429=EDGE_CURVE('',#4231,#4232,#3453,.T.)!#5430=EDGE_CURVE('',#4232,#4231,#3454,.T.)!#5431=EDGE_CURVE('',#4233,#4234,#3455,.T.)!#5432=EDGE_CURVE('',#4234,#4233,#3456,.T.)!#5433=EDGE_CURVE('',#4234,#4235,#2262,.T.)!#5434=EDGE_CURVE('',#4235,#4236,#3457,.T.)!#5435=EDGE_CURVE('',#4236,#4237,#421,.T.)!#5436=EDGE_CURVE('',#4237,#4238,#3458,.T.)!#5437=EDGE_CURVE('',#4238,#4239,#422,.T.)!#5438=EDGE_CURVE('',#4239,#4240,#3459,.T.)!#5439=EDGE_CURVE('',#4240,#4241,#423,.T.)!#5440=EDGE_CURVE('',#4241,#4242,#3460,.T.)!#5441=EDGE_CURVE('',#4242,#4243,#424,.T.)!#5442=EDGE_CURVE('',#4243,#4235,#3461,.T.)!#5443=EDGE_CURVE('',#4244,#4245,#3462,.T.)!#5444=EDGE_CURVE('',#4245,#4246,#3463,.T.)!#5445=EDGE_CURVE('',#4246,#4151,#2263,.T.)!#5446=EDGE_CURVE('',#4246,#4244,#3464,.T.)!#5447=EDGE_CURVE('',#4192,#4247,#2264,.T.)!#5448=EDGE_CURVE('',#4248,#4247,#3465,.T.)!#5449=EDGE_CURVE('',#4249,#4248,#3466,.T.)!#5450=EDGE_CURVE('',#4247,#4249,#3467,.T.)!#5451=EDGE_CURVE('',#4245,#4248,#3468,.T.)!#5452=EDGE_CURVE('',#4237,#4250,#2265,.T.)!#5453=EDGE_CURVE('',#4250,#4251,#3469,.T.)!#5454=EDGE_CURVE('',#4251,#4197,#2266,.T.)!#5455=EDGE_CURVE('',#4251,#4252,#3470,.T.)!#5456=EDGE_CURVE('',#4252,#4242,#2267,.T.)!#5457=EDGE_CURVE('',#4241,#4253,#2268,.T.)!#5458=EDGE_CURVE('',#4253,#4254,#3471,.T.)!#5459=EDGE_CURVE('',#4254,#4238,#2269,.T.)!#5460=EDGE_CURVE('',#4255,#4254,#425,.T.)!#5461=EDGE_CURVE('',#4256,#4255,#289,.T.)!#5462=EDGE_CURVE('',#4257,#4256,#2270,.T.)!#5463=EDGE_CURVE('',#4258,#4257,#2271,.T.)!#5464=EDGE_CURVE('',#4259,#4258,#2272,.T.)!#5465=EDGE_CURVE('',#4260,#4259,#290,.T.)!#5466=EDGE_CURVE('',#4261,#4260,#426,.T.)!#5467=EDGE_CURVE('',#4239,#4261,#2273,.T.)!#5468=EDGE_CURVE('',#4262,#4259,#3472,.T.)!#5469=EDGE_CURVE('',#4263,#4262,#3473,.T.)!#5470=EDGE_CURVE('',#4264,#4263,#3474,.T.)!#5471=EDGE_CURVE('',#4264,#4265,#291,.T.)!#5472=EDGE_CURVE('',#4265,#4260,#3475,.T.)!#5473=EDGE_CURVE('',#4266,#4255,#3476,.T.)!#5474=EDGE_CURVE('',#4266,#4267,#292,.T.)!#5475=EDGE_CURVE('',#4267,#4268,#3477,.T.)!#5476=EDGE_CURVE('',#4268,#4269,#3478,.T.)!#5477=EDGE_CURVE('',#4269,#4256,#3479,.T.)!#5478=EDGE_CURVE('',#4265,#4270,#427,.T.)!#5479=EDGE_CURVE('',#4270,#4261,#3480,.T.)!#5480=EDGE_CURVE('',#4270,#4240,#2274,.T.)!#5481=EDGE_CURVE('',#4267,#4264,#2275,.T.)!#5482=EDGE_CURVE('',#4253,#4266,#428,.T.)!#5483=EDGE_CURVE('',#4271,#4272,#293,.T.)!#5484=EDGE_CURVE('',#4272,#4273,#3481,.T.)!#5485=EDGE_CURVE('',#4273,#4274,#3482,.T.)!#5486=EDGE_CURVE('',#4274,#4275,#3483,.T.)!#5487=EDGE_CURVE('',#4275,#4276,#3484,.T.)!#5488=EDGE_CURVE('',#4276,#4277,#294,.T.)!#5489=EDGE_CURVE('',#4271,#4277,#3485,.T.)!#5490=EDGE_CURVE('',#4250,#4271,#429,.T.)!#5491=EDGE_CURVE('',#4277,#4252,#430,.T.)!#5492=EDGE_CURVE('',#4278,#4276,#2276,.T.)!#5493=EDGE_CURVE('',#4279,#4278,#295,.T.)!#5494=EDGE_CURVE('',#4280,#4279,#431,.T.)!#5495=EDGE_CURVE('',#4243,#4280,#2277,.T.)!#5496=EDGE_CURVE('',#4281,#4282,#432,.T.)!#5497=EDGE_CURVE('',#4282,#4280,#3486,.T.)!#5498=EDGE_CURVE('',#4281,#4279,#3487,.T.)!#5499=EDGE_CURVE('',#4283,#4281,#296,.T.)!#5500=EDGE_CURVE('',#4284,#4278,#3488,.T.)!#5501=EDGE_CURVE('',#4285,#4284,#3489,.T.)!#5502=EDGE_CURVE('',#4286,#4285,#3490,.T.)!#5503=EDGE_CURVE('',#4283,#4286,#3491,.T.)!#5504=EDGE_CURVE('',#4282,#4236,#2278,.T.)!#5505=EDGE_CURVE('',#4272,#4283,#2279,.T.)!#5506=EDGE_CURVE('',#4275,#4284,#2280,.T.)!#5507=EDGE_CURVE('',#4287,#4288,#2281,.T.)!#5508=EDGE_CURVE('',#4289,#4287,#2282,.T.)!#5509=EDGE_CURVE('',#4290,#4289,#2283,.T.)!#5510=EDGE_CURVE('',#4288,#4290,#2284,.T.)!#5511=EDGE_CURVE('',#4273,#4286,#2285,.T.)!#5512=EDGE_CURVE('',#4287,#4273,#2286,.T.)!#5513=EDGE_CURVE('',#4286,#4288,#2287,.T.)!#5514=EDGE_CURVE('',#4291,#4292,#3492,.T.)!#5515=EDGE_CURVE('',#4293,#4292,#2288,.T.)!#5516=EDGE_CURVE('',#4293,#4294,#3493,.T.)!#5517=EDGE_CURVE('',#4294,#4295,#2289,.T.)!#5518=EDGE_CURVE('',#4290,#4295,#3494,.T.)!#5519=EDGE_CURVE('',#4296,#4289,#3495,.T.)!#5520=EDGE_CURVE('',#4296,#4291,#2290,.T.)!#5521=EDGE_CURVE('',#4291,#4257,#3496,.T.)!#5522=EDGE_CURVE('',#4297,#4257,#3497,.T.)!#5523=EDGE_CURVE('',#4297,#4298,#2291,.T.)!#5524=EDGE_CURVE('',#4298,#4292,#2292,.T.)!#5525=EDGE_CURVE('',#4299,#4298,#2293,.T.)!#5526=EDGE_CURVE('',#4300,#4297,#2294,.T.)!#5527=EDGE_CURVE('',#4299,#4300,#2295,.T.)!#5528=EDGE_CURVE('',#4293,#4299,#2296,.T.)!#5529=EDGE_CURVE('',#4258,#4300,#3498,.T.)!#5530=EDGE_CURVE('',#4258,#4294,#3499,.T.)!#5531=EDGE_CURVE('',#4295,#4283,#3500,.T.)!#5532=EDGE_CURVE('',#4301,#4302,#2297,.T.)!#5533=EDGE_CURVE('',#4302,#4303,#2298,.T.)!#5534=EDGE_CURVE('',#4303,#4304,#2299,.T.)!#5535=EDGE_CURVE('',#4304,#4305,#2300,.T.)!#5536=EDGE_CURVE('',#4305,#4306,#2301,.T.)!#5537=EDGE_CURVE('',#4306,#4301,#2302,.T.)!#5538=EDGE_CURVE('',#4272,#4296,#3501,.T.)!#5539=EDGE_CURVE('',#4285,#4274,#2303,.T.)!#5540=EDGE_CURVE('',#4263,#4268,#2304,.T.)!#5541=EDGE_CURVE('',#4269,#4262,#2305,.T.)!#5542=EDGE_CURVE('',#4284,#4307,#3502,.T.)!#5543=EDGE_CURVE('',#4307,#4308,#2306,.T.)!#5544=EDGE_CURVE('',#4308,#4285,#2307,.T.)!#5545=EDGE_CURVE('',#4307,#4309,#2308,.T.)!#5546=EDGE_CURVE('',#4309,#4275,#3503,.T.)!#5547=EDGE_CURVE('',#4310,#4311,#297,.T.)!#5548=EDGE_CURVE('',#4311,#4310,#2309,.T.)!#5549=EDGE_CURVE('',#4308,#4312,#2310,.T.)!#5550=EDGE_CURVE('',#4312,#4309,#2311,.T.)!#5551=EDGE_CURVE('',#4274,#4312,#2312,.T.)!#5552=EDGE_CURVE('',#4313,#4314,#3504,.T.)!#5553=EDGE_CURVE('',#4314,#4315,#2313,.T.)!#5554=EDGE_CURVE('',#4315,#4316,#3505,.T.)!#5555=EDGE_CURVE('',#4316,#4317,#3506,.T.)!#5556=EDGE_CURVE('',#4317,#4318,#2314,.T.)!#5557=EDGE_CURVE('',#4318,#4313,#2315,.T.)!#5558=EDGE_CURVE('',#4319,#4268,#2316,.T.)!#5559=EDGE_CURVE('',#4263,#4315,#2317,.T.)!#5560=EDGE_CURVE('',#4320,#4314,#2318,.T.)!#5561=EDGE_CURVE('',#4319,#4320,#2319,.T.)!#5562=EDGE_CURVE('',#4321,#4322,#2320,.T.)!#5563=EDGE_CURVE('',#4322,#4323,#2321,.T.)!#5564=EDGE_CURVE('',#4323,#4317,#2322,.T.)!#5565=EDGE_CURVE('',#4262,#4316,#2323,.T.)!#5566=EDGE_CURVE('',#4324,#4269,#2324,.T.)!#5567=EDGE_CURVE('',#4321,#4324,#3507,.T.)!#5568=EDGE_CURVE('',#4325,#4326,#2325,.T.)!#5569=EDGE_CURVE('',#4313,#4326,#2326,.T.)!#5570=EDGE_CURVE('',#4325,#4318,#2327,.T.)!#5571=EDGE_CURVE('',#4320,#4327,#3508,.T.)!#5572=EDGE_CURVE('',#4327,#4328,#2328,.T.)!#5573=EDGE_CURVE('',#4328,#4321,#2329,.T.)!#5574=EDGE_CURVE('',#4324,#4319,#3509,.T.)!#5575=EDGE_CURVE('',#4329,#4330,#2330,.T.)!#5576=EDGE_CURVE('',#4328,#4330,#2331,.T.)!#5577=EDGE_CURVE('',#4329,#4327,#2332,.T.)!#5578=EDGE_CURVE('',#4326,#4331,#3510,.T.)!#5579=EDGE_CURVE('',#4331,#4332,#298,.T.)!#5580=EDGE_CURVE('',#4332,#4329,#3511,.T.)!#5581=EDGE_CURVE('',#4333,#4325,#2333,.T.)!#5582=EDGE_CURVE('',#4334,#4333,#2334,.T.)!#5583=EDGE_CURVE('',#4334,#4331,#2335,.T.)!#5584=EDGE_CURVE('',#4335,#4332,#2336,.T.)!#5585=EDGE_CURVE('',#4336,#4335,#2337,.T.)!#5586=EDGE_CURVE('',#4330,#4336,#2338,.T.)!#5587=EDGE_CURVE('',#4335,#4334,#3512,.T.)!#5588=EDGE_CURVE('',#4323,#4337,#2339,.T.)!#5589=EDGE_CURVE('',#4337,#4338,#2340,.T.)!#5590=EDGE_CURVE('',#4338,#4333,#2341,.T.)!#5591=EDGE_CURVE('',#4339,#4322,#2342,.T.)!#5592=EDGE_CURVE('',#4336,#4340,#2343,.T.)!#5593=EDGE_CURVE('',#4340,#4339,#2344,.T.)!#5594=EDGE_CURVE('',#4341,#4342,#2345,.T.)!#5595=EDGE_CURVE('',#4341,#4337,#2346,.T.)!#5596=EDGE_CURVE('',#4343,#4323,#2347,.T.)!#5597=EDGE_CURVE('',#4342,#4343,#2348,.T.)!#5598=EDGE_CURVE('',#4344,#4341,#2349,.T.)!#5599=EDGE_CURVE('',#4344,#4338,#2350,.T.)!#5600=EDGE_CURVE('',#4345,#4344,#2351,.T.)!#5601=EDGE_CURVE('',#4345,#4346,#2352,.T.)!#5602=EDGE_CURVE('',#4333,#4346,#2353,.T.)!#5603=EDGE_CURVE('',#4311,#4347,#3513,.T.)!#5604=EDGE_CURVE('',#4347,#4310,#3514,.T.)!#5605=EDGE_CURVE('',#4348,#4349,#2354,.T.)!#5606=EDGE_CURVE('',#4350,#4348,#2355,.T.)!#5607=EDGE_CURVE('',#4351,#4350,#2356,.T.)!#5608=EDGE_CURVE('',#4352,#4351,#2357,.T.)!#5609=EDGE_CURVE('',#4353,#4352,#2358,.T.)!#5610=EDGE_CURVE('',#4349,#4353,#2359,.T.)!#5611=EDGE_CURVE('',#4342,#4354,#2360,.T.)!#5612=EDGE_CURVE('',#4322,#4343,#2361,.T.)!#5613=EDGE_CURVE('',#4354,#4339,#2362,.T.)!#5614=EDGE_CURVE('',#4354,#4355,#2363,.T.)!#5615=EDGE_CURVE('',#4355,#4340,#2364,.T.)!#5616=EDGE_CURVE('',#4355,#4345,#2365,.T.)!#5617=EDGE_CURVE('',#4346,#4336,#2366,.T.)!#5618=EDGE_CURVE('',#4351,#4345,#2367,.T.)!#5619=EDGE_CURVE('',#4350,#4355,#2368,.T.)!#5620=EDGE_CURVE('',#4352,#4344,#2369,.T.)!#5621=EDGE_CURVE('',#4354,#4348,#2370,.T.)!#5622=EDGE_CURVE('',#4353,#4341,#2371,.T.)!#5623=EDGE_CURVE('',#4349,#4342,#2372,.T.)!#5624=EDGE_CURVE('',#4356,#4356,#3515,.T.)!#5625=EDGE_CURVE('',#4347,#4356,#2373,.T.)!#5626=EDGE_CURVE('',#4357,#4358,#3516,.T.)!#5627=EDGE_CURVE('',#4358,#4359,#3517,.T.)!#5628=EDGE_CURVE('',#4359,#4360,#3518,.T.)!#5629=EDGE_CURVE('',#4360,#4361,#3519,.T.)!#5630=EDGE_CURVE('',#4361,#4362,#3520,.T.)!#5631=EDGE_CURVE('',#4362,#4357,#3521,.T.)!#5632=EDGE_CURVE('',#4361,#4363,#433,.T.)!#5633=EDGE_CURVE('',#4301,#4363,#2374,.T.)!#5634=EDGE_CURVE('',#4306,#4364,#2375,.T.)!#5635=EDGE_CURVE('',#4364,#4361,#434,.T.)!#5636=EDGE_CURVE('',#4362,#4364,#435,.T.)!#5637=EDGE_CURVE('',#4305,#4365,#2376,.T.)!#5638=EDGE_CURVE('',#4365,#4362,#436,.T.)!#5639=EDGE_CURVE('',#4357,#4365,#437,.T.)!#5640=EDGE_CURVE('',#4304,#4366,#2377,.T.)!#5641=EDGE_CURVE('',#4366,#4357,#438,.T.)!#5642=EDGE_CURVE('',#4367,#4358,#439,.T.)!#5643=EDGE_CURVE('',#4358,#4366,#440,.T.)!#5644=EDGE_CURVE('',#4303,#4367,#2378,.T.)!#5645=EDGE_CURVE('',#4368,#4359,#441,.T.)!#5646=EDGE_CURVE('',#4359,#4367,#442,.T.)!#5647=EDGE_CURVE('',#4302,#4368,#2379,.T.)!#5648=EDGE_CURVE('',#4363,#4360,#443,.T.)!#5649=EDGE_CURVE('',#4360,#4368,#444,.T.)!#5650=EDGE_CURVE('',#4369,#4370,#3522,.T.)!#5651=EDGE_CURVE('',#4370,#4369,#3523,.T.)!#5652=EDGE_CURVE('',#4371,#4372,#3524,.T.)!#5653=EDGE_CURVE('',#4372,#4371,#3525,.T.)!#5654=EDGE_CURVE('',#4370,#4373,#2380,.T.)!#5655=EDGE_CURVE('',#4373,#4374,#3526,.T.)!#5656=EDGE_CURVE('',#4374,#4373,#3527,.T.)!#5657=EDGE_CURVE('',#4375,#4376,#3528,.T.)!#5658=EDGE_CURVE('',#4376,#4375,#3529,.T.)!#5659=EDGE_CURVE('',#4376,#4377,#2381,.T.)!#5660=EDGE_CURVE('',#4377,#4378,#3530,.T.)!#5661=EDGE_CURVE('',#4378,#4379,#2382,.T.)!#5662=EDGE_CURVE('',#4379,#4380,#3531,.T.)!#5663=EDGE_CURVE('',#4380,#4381,#2383,.T.)!#5664=EDGE_CURVE('',#4381,#4382,#3532,.T.)!#5665=EDGE_CURVE('',#4382,#4381,#3533,.T.)!#5666=EDGE_CURVE('',#4380,#4383,#3534,.T.)!#5667=EDGE_CURVE('',#4383,#4384,#2384,.T.)!#5668=EDGE_CURVE('',#4384,#4377,#3535,.T.)!#5669=EDGE_CURVE('',#4385,#4386,#299,.T.)!#5670=EDGE_CURVE('',#4386,#4385,#300,.T.)!#5671=EDGE_CURVE('',#4387,#4388,#2385,.T.)!#5672=EDGE_CURVE('',#4388,#4389,#3536,.T.)!#5673=EDGE_CURVE('',#4389,#4390,#2386,.T.)!#5674=EDGE_CURVE('',#4390,#4387,#3537,.T.)!#5675=EDGE_CURVE('',#4391,#4392,#3538,.T.)!#5676=EDGE_CURVE('',#4392,#4391,#3539,.T.)!#5677=EDGE_CURVE('',#4393,#3967,#2387,.T.)!#5678=EDGE_CURVE('',#4394,#4393,#2388,.T.)!#5679=EDGE_CURVE('',#3968,#4394,#2389,.T.)!#5680=EDGE_CURVE('',#3973,#4395,#2390,.T.)!#5681=EDGE_CURVE('',#4396,#3972,#2391,.T.)!#5682=EDGE_CURVE('',#4396,#4395,#2392,.T.)!#5683=EDGE_CURVE('',#4397,#4398,#3540,.T.)!#5684=EDGE_CURVE('',#4397,#4399,#2393,.T.)!#5685=EDGE_CURVE('',#4400,#4399,#3541,.T.)!#5686=EDGE_CURVE('',#4398,#4400,#2394,.T.)!#5687=EDGE_CURVE('',#4401,#4397,#2395,.T.)!#5688=EDGE_CURVE('',#4401,#4402,#2396,.T.)!#5689=EDGE_CURVE('',#4399,#4402,#2397,.T.)!#5690=EDGE_CURVE('',#4403,#4389,#3542,.T.)!#5691=EDGE_CURVE('',#4403,#4404,#2398,.T.)!#5692=EDGE_CURVE('',#4390,#4404,#3543,.T.)!#5693=EDGE_CURVE('',#4405,#4403,#2399,.T.)!#5694=EDGE_CURVE('',#4405,#4406,#2400,.T.)!#5695=EDGE_CURVE('',#4404,#4406,#2401,.T.)!#5696=EDGE_CURVE('',#4407,#4405,#3544,.T.)!#5697=EDGE_CURVE('',#4407,#4408,#2402,.T.)!#5698=EDGE_CURVE('',#4406,#4408,#3545,.T.)!#5699=EDGE_CURVE('',#4409,#4410,#3546,.T.)!#5700=EDGE_CURVE('',#4408,#4409,#2403,.T.)!#5701=EDGE_CURVE('',#4411,#4407,#2404,.T.)!#5702=EDGE_CURVE('',#4412,#4411,#3547,.T.)!#5703=EDGE_CURVE('',#4412,#4410,#2405,.T.)!#5704=EDGE_CURVE('',#4413,#4414,#2406,.T.)!#5705=EDGE_CURVE('',#4414,#4415,#2407,.T.)!#5706=EDGE_CURVE('',#4415,#4416,#2408,.T.)!#5707=EDGE_CURVE('',#4416,#4417,#2409,.T.)!#5708=EDGE_CURVE('',#4417,#4418,#2410,.T.)!#5709=EDGE_CURVE('',#4418,#4413,#2411,.T.)!#5710=EDGE_CURVE('',#4410,#3894,#2412,.T.)!#5711=EDGE_CURVE('',#3895,#4412,#2413,.T.)!#5712=EDGE_CURVE('',#4419,#3911,#3548,.T.)!#5713=EDGE_CURVE('',#4419,#4420,#2414,.T.)!#5714=EDGE_CURVE('',#3912,#4420,#3549,.T.)!#5715=EDGE_CURVE('',#4421,#4419,#2415,.T.)!#5716=EDGE_CURVE('',#4421,#4422,#2416,.T.)!#5717=EDGE_CURVE('',#4420,#4422,#2417,.T.)!#5718=EDGE_CURVE('',#4423,#4421,#3550,.T.)!#5719=EDGE_CURVE('',#4423,#4424,#2418,.T.)!#5720=EDGE_CURVE('',#4422,#4424,#3551,.T.)!#5721=EDGE_CURVE('',#4425,#4426,#2419,.T.)!#5722=EDGE_CURVE('',#4427,#4425,#2420,.T.)!#5723=EDGE_CURVE('',#4428,#4427,#2421,.T.)!#5724=EDGE_CURVE('',#4426,#4428,#2422,.T.)!#5725=EDGE_CURVE('',#4429,#4430,#3552,.T.)!#5726=EDGE_CURVE('',#4429,#4431,#2423,.T.)!#5727=EDGE_CURVE('',#4432,#4431,#3553,.T.)!#5728=EDGE_CURVE('',#4430,#4432,#2424,.T.)!#5729=EDGE_CURVE('',#4433,#4429,#2425,.T.)!#5730=EDGE_CURVE('',#4433,#4434,#2426,.T.)!#5731=EDGE_CURVE('',#4431,#4434,#2427,.T.)!#5732=EDGE_CURVE('',#4394,#4433,#3554,.T.)!#5733=EDGE_CURVE('',#4434,#4393,#3555,.T.)!#5734=EDGE_CURVE('',#4402,#4387,#3556,.T.)!#5735=EDGE_CURVE('',#4388,#4401,#3557,.T.)!#5736=EDGE_CURVE('',#4435,#4436,#3558,.T.)!#5737=EDGE_CURVE('',#4436,#4378,#2428,.T.)!#5738=EDGE_CURVE('',#4384,#4437,#2429,.T.)!#5739=EDGE_CURVE('',#4437,#4438,#3559,.T.)!#5740=EDGE_CURVE('',#4439,#4438,#2430,.T.)!#5741=EDGE_CURVE('',#4439,#4440,#2431,.T.)!#5742=EDGE_CURVE('',#4441,#4440,#2432,.T.)!#5743=EDGE_CURVE('',#4442,#4441,#2433,.T.)!#5744=EDGE_CURVE('',#4443,#4442,#2434,.T.)!#5745=EDGE_CURVE('',#4443,#4444,#2435,.T.)!#5746=EDGE_CURVE('',#4435,#4444,#2436,.T.)!#5747=EDGE_CURVE('',#4445,#4437,#2437,.T.)!#5748=EDGE_CURVE('',#4445,#4383,#2438,.T.)!#5749=EDGE_CURVE('',#4446,#4445,#3560,.T.)!#5750=EDGE_CURVE('',#4447,#4446,#301,.F.)!#5751=EDGE_CURVE('',#4448,#4447,#2439,.T.)!#5752=EDGE_CURVE('',#4438,#4448,#302,.F.)!#5753=EDGE_CURVE('',#4440,#4449,#3561,.T.)!#5754=EDGE_CURVE('',#4450,#4439,#3562,.F.)!#5755=EDGE_CURVE('',#4450,#4451,#2440,.T.)!#5756=EDGE_CURVE('',#4452,#4451,#3563,.F.)!#5757=EDGE_CURVE('',#4453,#4452,#2441,.T.)!#5758=EDGE_CURVE('',#4454,#4453,#3564,.T.)!#5759=EDGE_CURVE('',#4449,#4454,#2442,.T.)!#5760=EDGE_CURVE('',#4449,#4455,#2443,.T.)!#5761=EDGE_CURVE('',#4456,#4454,#2444,.T.)!#5762=EDGE_CURVE('',#4455,#4456,#2445,.T.)!#5763=EDGE_CURVE('',#4436,#4457,#2446,.T.)!#5764=EDGE_CURVE('',#4458,#4435,#303,.F.)!#5765=EDGE_CURVE('',#4458,#4459,#2447,.T.)!#5766=EDGE_CURVE('',#4460,#4459,#304,.F.)!#5767=EDGE_CURVE('',#4457,#4460,#3565,.T.)!#5768=EDGE_CURVE('',#4379,#4457,#2448,.T.)!#5769=EDGE_CURVE('',#4461,#4462,#3566,.T.)!#5770=EDGE_CURVE('',#4462,#4461,#3567,.T.)!#5771=EDGE_CURVE('',#4463,#4464,#3568,.T.)!#5772=EDGE_CURVE('',#4464,#4463,#3569,.T.)!#5773=EDGE_CURVE('',#4464,#4465,#2449,.T.)!#5774=EDGE_CURVE('',#4465,#4466,#3570,.T.)!#5775=EDGE_CURVE('',#4466,#4465,#3571,.T.)!#5776=EDGE_CURVE('',#4467,#4468,#3572,.T.)!#5777=EDGE_CURVE('',#4468,#4467,#3573,.T.)!#5778=EDGE_CURVE('',#4468,#4469,#2450,.T.)!#5779=EDGE_CURVE('',#4469,#4470,#305,.T.)!#5780=EDGE_CURVE('',#4470,#4471,#3574,.T.)!#5781=EDGE_CURVE('',#4471,#4472,#306,.T.)!#5782=EDGE_CURVE('',#4472,#4473,#3575,.T.)!#5783=EDGE_CURVE('',#4473,#4469,#307,.T.)!#5784=EDGE_CURVE('',#4386,#4462,#2451,.T.)!#5785=EDGE_CURVE('',#4472,#4474,#308,.T.)!#5786=EDGE_CURVE('',#4474,#4473,#309,.T.)!#5787=EDGE_CURVE('',#4470,#4475,#310,.T.)!#5788=EDGE_CURVE('',#4475,#4471,#311,.T.)!#5789=EDGE_CURVE('',#4475,#4476,#3576,.T.)!#5790=EDGE_CURVE('',#4476,#4474,#3577,.T.)!#5791=EDGE_CURVE('',#4476,#4476,#3578,.T.)!#5792=EDGE_CURVE('',#4392,#4477,#2452,.T.)!#5793=EDGE_CURVE('',#4478,#4477,#3579,.T.)!#5794=EDGE_CURVE('',#4479,#4478,#3580,.T.)!#5795=EDGE_CURVE('',#4477,#4479,#3581,.T.)!#5796=EDGE_CURVE('',#4480,#4481,#3582,.T.)!#5797=EDGE_CURVE('',#4481,#4480,#3583,.T.)!#5798=EDGE_CURVE('',#4479,#4481,#3584,.T.)!#5799=EDGE_CURVE('',#3971,#4482,#2453,.T.)!#5800=EDGE_CURVE('',#4482,#4396,#3585,.T.)!#5801=EDGE_CURVE('',#4395,#4483,#3586,.T.)!#5802=EDGE_CURVE('',#4398,#4482,#2454,.T.)!#5803=EDGE_CURVE('',#4483,#4400,#2455,.T.)!#5804=EDGE_CURVE('',#4484,#4485,#2456,.T.)!#5805=EDGE_CURVE('',#4485,#4486,#2457,.T.)!#5806=EDGE_CURVE('',#4486,#4487,#2458,.T.)!#5807=EDGE_CURVE('',#4487,#4488,#2459,.T.)!#5808=EDGE_CURVE('',#4488,#4489,#2460,.T.)!#5809=EDGE_CURVE('',#4489,#4484,#2461,.T.)!#5810=EDGE_CURVE('',#4483,#3970,#2462,.T.)!#5811=EDGE_CURVE('',#4411,#3896,#2463,.T.)!#5812=EDGE_CURVE('',#3892,#4409,#2464,.T.)!#5813=EDGE_CURVE('',#4490,#4425,#3587,.T.)!#5814=EDGE_CURVE('',#4490,#4423,#2465,.T.)!#5815=EDGE_CURVE('',#4430,#4491,#2466,.T.)!#5816=EDGE_CURVE('',#4427,#4491,#3588,.T.)!#5817=EDGE_CURVE('',#4491,#4492,#2467,.T.)!#5818=EDGE_CURVE('',#4492,#4432,#2468,.T.)!#5819=EDGE_CURVE('',#4492,#4428,#3589,.T.)!#5820=EDGE_CURVE('',#4426,#4493,#3590,.T.)!#5821=EDGE_CURVE('',#4424,#4493,#2469,.T.)!#5822=EDGE_CURVE('',#4493,#4490,#2470,.T.)!#5823=EDGE_CURVE('',#4494,#4495,#3591,.T.)!#5824=EDGE_CURVE('',#4496,#4494,#2471,.T.)!#5825=EDGE_CURVE('',#4497,#4496,#3592,.T.)!#5826=EDGE_CURVE('',#4498,#4497,#2472,.T.)!#5827=EDGE_CURVE('',#4499,#4498,#3593,.T.)!#5828=EDGE_CURVE('',#4500,#4499,#2473,.T.)!#5829=EDGE_CURVE('',#4501,#4500,#3594,.T.)!#5830=EDGE_CURVE('',#4495,#4501,#2474,.T.)!#5831=EDGE_CURVE('',#4502,#4503,#2475,.T.)!#5832=EDGE_CURVE('',#4504,#4503,#2476,.T.)!#5833=EDGE_CURVE('',#4505,#4504,#2477,.T.)!#5834=EDGE_CURVE('',#4453,#4505,#2478,.T.)!#5835=EDGE_CURVE('',#4446,#4452,#2479,.T.)!#5836=EDGE_CURVE('',#4502,#4460,#2480,.T.)!#5837=EDGE_CURVE('',#4506,#4443,#3595,.T.)!#5838=EDGE_CURVE('',#4506,#4507,#2481,.T.)!#5839=EDGE_CURVE('',#4503,#4507,#3596,.T.)!#5840=EDGE_CURVE('',#4508,#4502,#3597,.F.)!#5841=EDGE_CURVE('',#4509,#4508,#2482,.T.)!#5842=EDGE_CURVE('',#4444,#4509,#3598,.F.)!#5843=EDGE_CURVE('',#4510,#4506,#2483,.T.)!#5844=EDGE_CURVE('',#4511,#4510,#2484,.T.)!#5845=EDGE_CURVE('',#4507,#4511,#2485,.T.)!#5846=EDGE_CURVE('',#4511,#4504,#3599,.F.)!#5847=EDGE_CURVE('',#4497,#4505,#3600,.T.)!#5848=EDGE_CURVE('',#4504,#4498,#3601,.T.)!#5849=EDGE_CURVE('',#4505,#4456,#3602,.F.)!#5850=EDGE_CURVE('',#4455,#4441,#3603,.F.)!#5851=EDGE_CURVE('',#4501,#4442,#3604,.T.)!#5852=EDGE_CURVE('',#4441,#4495,#3605,.T.)!#5853=EDGE_CURVE('',#4456,#4496,#3606,.T.)!#5854=EDGE_CURVE('',#4494,#4455,#3607,.T.)!#5855=EDGE_CURVE('',#4442,#4510,#3608,.F.)!#5856=EDGE_CURVE('',#4499,#4511,#3609,.T.)!#5857=EDGE_CURVE('',#4510,#4500,#3610,.T.)!#5858=EDGE_CURVE('',#4448,#4450,#2486,.T.)!#5859=EDGE_CURVE('',#4451,#4447,#2487,.T.)!#5860=EDGE_CURVE('',#4459,#4508,#2488,.T.)!#5861=EDGE_CURVE('',#4509,#4458,#2489,.T.)!#5862=EDGE_CURVE('',#4512,#4513,#3611,.T.)!#5863=EDGE_CURVE('',#4513,#4512,#3612,.T.)!#5864=EDGE_CURVE('',#4514,#4514,#3613,.T.)!#5865=EDGE_CURVE('',#4515,#4516,#3614,.T.)!#5866=EDGE_CURVE('',#4516,#4185,#3615,.T.)!#5867=EDGE_CURVE('',#4516,#4517,#3616,.T.)!#5868=EDGE_CURVE('',#4517,#4515,#3617,.T.)!#5869=EDGE_CURVE('',#4517,#4518,#2490,.T.)!#5870=EDGE_CURVE('',#4518,#4519,#3618,.T.)!#5871=EDGE_CURVE('',#4519,#4518,#3619,.T.)!#5872=EDGE_CURVE('',#4518,#4520,#2491,.T.)!#5873=EDGE_CURVE('',#4520,#4521,#3620,.T.)!#5874=EDGE_CURVE('',#4521,#4522,#3621,.T.)!#5875=EDGE_CURVE('',#4522,#4520,#3622,.T.)!#5876=EDGE_CURVE('',#4523,#4524,#3623,.T.)!#5877=EDGE_CURVE('',#4524,#4522,#3624,.T.)!#5878=EDGE_CURVE('',#4524,#4525,#3625,.T.)!#5879=EDGE_CURVE('',#4525,#4523,#3626,.T.)!#5880=EDGE_CURVE('',#4525,#4526,#2492,.T.)!#5881=EDGE_CURVE('',#4526,#4527,#3627,.T.)!#5882=EDGE_CURVE('',#4527,#4528,#3628,.T.)!#5883=EDGE_CURVE('',#4528,#4526,#3629,.T.)!#5884=EDGE_CURVE('',#4529,#4530,#3630,.T.)!#5885=EDGE_CURVE('',#4530,#4528,#3631,.T.)!#5886=EDGE_CURVE('',#4530,#4531,#3632,.T.)!#5887=EDGE_CURVE('',#4531,#4529,#3633,.T.)!#5888=EDGE_CURVE('',#4531,#4532,#2493,.T.)!#5889=EDGE_CURVE('',#4532,#4533,#3634,.T.)!#5890=EDGE_CURVE('',#4533,#4532,#3635,.T.)!#5891=EDGE_CURVE('',#4532,#4513,#2494,.T.)!#5892=EDGE_CURVE('',#4534,#4535,#3636,.T.)!#5893=EDGE_CURVE('',#4535,#4534,#3637,.T.)!#5894=EDGE_CURVE('',#4535,#4372,#2495,.T.)!#5895=EDGE_CURVE('',#4536,#4537,#3638,.T.)!#5896=EDGE_CURVE('',#4537,#4536,#3639,.T.)!#5897=EDGE_CURVE('',#4514,#4537,#2496,.T.)!#5898=EDGE_CURVE('',#4538,#4539,#3640,.T.)!#5899=EDGE_CURVE('',#4539,#4540,#3641,.T.)!#5900=EDGE_CURVE('',#4539,#4538,#3642,.T.)!#5901=EDGE_CURVE('',#4541,#4542,#3643,.T.)!#5902=EDGE_CURVE('',#4542,#4541,#3644,.T.)!#5903=EDGE_CURVE('',#4543,#4544,#3645,.T.)!#5904=EDGE_CURVE('',#4544,#4543,#3646,.T.)!#5905=EDGE_CURVE('',#4544,#4542,#2497,.T.)!#5906=EDGE_CURVE('',#4539,#4544,#2498,.T.)!#5907=EDGE_CURVE('',#4545,#4546,#3647,.T.)!#5908=EDGE_CURVE('',#4546,#4547,#3648,.T.)!#5909=EDGE_CURVE('',#4546,#4545,#3649,.T.)!#5910=EDGE_CURVE('',#4548,#4549,#3650,.T.)!#5911=EDGE_CURVE('',#4549,#4548,#3651,.T.)!#5912=EDGE_CURVE('',#4550,#4551,#3652,.T.)!#5913=EDGE_CURVE('',#4551,#4550,#3653,.T.)!#5914=EDGE_CURVE('',#4551,#4549,#2499,.T.)!#5915=EDGE_CURVE('',#4546,#4551,#2500,.T.)!#5916=EDGE_CURVE('',#4552,#4553,#3654,.T.)!#5917=EDGE_CURVE('',#4553,#4554,#3655,.T.)!#5918=EDGE_CURVE('',#4554,#4555,#3656,.T.)!#5919=EDGE_CURVE('',#4555,#4556,#3657,.T.)!#5920=EDGE_CURVE('',#4556,#4557,#3658,.T.)!#5921=EDGE_CURVE('',#4557,#4552,#3659,.T.)!#5922=EDGE_CURVE('',#4556,#4558,#445,.T.)!#5923=EDGE_CURVE('',#4484,#4558,#2501,.T.)!#5924=EDGE_CURVE('',#4489,#4559,#2502,.T.)!#5925=EDGE_CURVE('',#4559,#4556,#446,.T.)!#5926=EDGE_CURVE('',#4557,#4559,#447,.T.)!#5927=EDGE_CURVE('',#4488,#4560,#2503,.T.)!#5928=EDGE_CURVE('',#4560,#4557,#448,.T.)!#5929=EDGE_CURVE('',#4552,#4560,#449,.T.)!#5930=EDGE_CURVE('',#4487,#4561,#2504,.T.)!#5931=EDGE_CURVE('',#4561,#4552,#450,.T.)!#5932=EDGE_CURVE('',#4562,#4553,#451,.T.)!#5933=EDGE_CURVE('',#4553,#4561,#452,.T.)!#5934=EDGE_CURVE('',#4486,#4562,#2505,.T.)!#5935=EDGE_CURVE('',#4563,#4554,#453,.T.)!#5936=EDGE_CURVE('',#4554,#4562,#454,.T.)!#5937=EDGE_CURVE('',#4485,#4563,#2506,.T.)!#5938=EDGE_CURVE('',#4558,#4555,#455,.T.)!#5939=EDGE_CURVE('',#4555,#4563,#456,.T.)!#5940=EDGE_CURVE('',#4564,#4564,#3660,.T.)!#5941=EDGE_CURVE('',#4564,#3961,#2507,.T.)!#5942=EDGE_CURVE('',#4565,#4566,#3661,.T.)!#5943=EDGE_CURVE('',#4566,#4567,#3662,.T.)!#5944=EDGE_CURVE('',#4567,#4568,#3663,.T.)!#5945=EDGE_CURVE('',#4568,#4569,#3664,.T.)!#5946=EDGE_CURVE('',#4569,#4570,#3665,.T.)!#5947=EDGE_CURVE('',#4570,#4565,#3666,.T.)!#5948=EDGE_CURVE('',#4569,#4571,#457,.T.)!#5949=EDGE_CURVE('',#4413,#4571,#2508,.T.)!#5950=EDGE_CURVE('',#4418,#4572,#2509,.T.)!#5951=EDGE_CURVE('',#4572,#4569,#458,.T.)!#5952=EDGE_CURVE('',#4570,#4572,#459,.T.)!#5953=EDGE_CURVE('',#4417,#4573,#2510,.T.)!#5954=EDGE_CURVE('',#4573,#4570,#460,.T.)!#5955=EDGE_CURVE('',#4565,#4573,#461,.T.)!#5956=EDGE_CURVE('',#4416,#4574,#2511,.T.)!#5957=EDGE_CURVE('',#4574,#4565,#462,.T.)!#5958=EDGE_CURVE('',#4575,#4566,#463,.T.)!#5959=EDGE_CURVE('',#4566,#4574,#464,.T.)!#5960=EDGE_CURVE('',#4415,#4575,#2512,.T.)!#5961=EDGE_CURVE('',#4576,#4567,#465,.T.)!#5962=EDGE_CURVE('',#4567,#4575,#466,.T.)!#5963=EDGE_CURVE('',#4414,#4576,#2513,.T.)!#5964=EDGE_CURVE('',#4571,#4568,#467,.T.)!#5965=EDGE_CURVE('',#4568,#4576,#468,.T.)!#5966=EDGE_CURVE('',#4577,#4577,#3667,.T.)!#5967=EDGE_CURVE('',#4577,#3908,#2514,.T.)!#5968=ORIENTED_EDGE('',*,*,#4578,.T.)!#5969=ORIENTED_EDGE('',*,*,#4579,.T.)!#5970=ORIENTED_EDGE('',*,*,#4580,.F.)!#5971=ORIENTED_EDGE('',*,*,#4579,.F.)!#5972=ORIENTED_EDGE('',*,*,#4578,.F.)!#5973=ORIENTED_EDGE('',*,*,#4581,.F.)!#5974=ORIENTED_EDGE('',*,*,#4582,.F.)!#5975=ORIENTED_EDGE('',*,*,#4583,.F.)!#5976=ORIENTED_EDGE('',*,*,#4584,.F.)!#5977=ORIENTED_EDGE('',*,*,#4585,.F.)!#5978=ORIENTED_EDGE('',*,*,#4586,.F.)!#5979=ORIENTED_EDGE('',*,*,#4587,.F.)!#5980=ORIENTED_EDGE('',*,*,#4588,.F.)!#5981=ORIENTED_EDGE('',*,*,#4589,.F.)!#5982=ORIENTED_EDGE('',*,*,#4590,.F.)!#5983=ORIENTED_EDGE('',*,*,#4591,.F.)!#5984=ORIENTED_EDGE('',*,*,#4592,.F.)!#5985=ORIENTED_EDGE('',*,*,#4593,.F.)!#5986=ORIENTED_EDGE('',*,*,#4594,.F.)!#5987=ORIENTED_EDGE('',*,*,#4595,.F.)!#5988=ORIENTED_EDGE('',*,*,#4596,.F.)!#5989=ORIENTED_EDGE('',*,*,#4597,.F.)!#5990=ORIENTED_EDGE('',*,*,#4598,.F.)!#5991=ORIENTED_EDGE('',*,*,#4596,.T.)!#5992=ORIENTED_EDGE('',*,*,#4593,.T.)!#5993=ORIENTED_EDGE('',*,*,#4599,.F.)!#5994=ORIENTED_EDGE('',*,*,#4600,.F.)!#5995=ORIENTED_EDGE('',*,*,#4601,.T.)!#5996=ORIENTED_EDGE('',*,*,#4595,.T.)!#5997=ORIENTED_EDGE('',*,*,#4587,.T.)!#5998=ORIENTED_EDGE('',*,*,#4602,.F.)!#5999=ORIENTED_EDGE('',*,*,#4603,.F.)!#6000=ORIENTED_EDGE('',*,*,#4599,.T.)!#6001=ORIENTED_EDGE('',*,*,#4589,.T.)!#6002=ORIENTED_EDGE('',*,*,#4581,.T.)!#6003=ORIENTED_EDGE('',*,*,#4604,.F.)!#6004=ORIENTED_EDGE('',*,*,#4605,.F.)!#6005=ORIENTED_EDGE('',*,*,#4602,.T.)!#6006=ORIENTED_EDGE('',*,*,#4585,.T.)!#6007=ORIENTED_EDGE('',*,*,#4606,.F.)!#6008=ORIENTED_EDGE('',*,*,#4607,.F.)!#6009=ORIENTED_EDGE('',*,*,#4604,.T.)!#6010=ORIENTED_EDGE('',*,*,#4583,.T.)!#6011=ORIENTED_EDGE('',*,*,#4591,.T.)!#6012=ORIENTED_EDGE('',*,*,#4608,.F.)!#6013=ORIENTED_EDGE('',*,*,#4609,.F.)!#6014=ORIENTED_EDGE('',*,*,#4606,.T.)!#6015=ORIENTED_EDGE('',*,*,#4584,.T.)!#6016=ORIENTED_EDGE('',*,*,#4597,.T.)!#6017=ORIENTED_EDGE('',*,*,#4601,.F.)!#6018=ORIENTED_EDGE('',*,*,#4610,.F.)!#6019=ORIENTED_EDGE('',*,*,#4608,.T.)!#6020=ORIENTED_EDGE('',*,*,#4590,.T.)!#6021=ORIENTED_EDGE('',*,*,#4582,.T.)!#6022=ORIENTED_EDGE('',*,*,#4588,.T.)!#6023=ORIENTED_EDGE('',*,*,#4594,.T.)!#6024=ORIENTED_EDGE('',*,*,#4598,.T.)!#6025=ORIENTED_EDGE('',*,*,#4592,.T.)!#6026=ORIENTED_EDGE('',*,*,#4586,.T.)!#6027=ORIENTED_EDGE('',*,*,#4611,.T.)!#6028=ORIENTED_EDGE('',*,*,#4612,.T.)!#6029=ORIENTED_EDGE('',*,*,#4613,.F.)!#6030=ORIENTED_EDGE('',*,*,#4614,.F.)!#6031=ORIENTED_EDGE('',*,*,#4612,.F.)!#6032=ORIENTED_EDGE('',*,*,#4611,.F.)!#6033=ORIENTED_EDGE('',*,*,#4615,.F.)!#6034=ORIENTED_EDGE('',*,*,#4616,.F.)!#6035=ORIENTED_EDGE('',*,*,#4617,.F.)!#6036=ORIENTED_EDGE('',*,*,#4618,.F.)!#6037=ORIENTED_EDGE('',*,*,#4619,.F.)!#6038=ORIENTED_EDGE('',*,*,#4620,.F.)!#6039=ORIENTED_EDGE('',*,*,#4621,.F.)!#6040=ORIENTED_EDGE('',*,*,#4622,.F.)!#6041=ORIENTED_EDGE('',*,*,#4623,.F.)!#6042=ORIENTED_EDGE('',*,*,#4624,.F.)!#6043=ORIENTED_EDGE('',*,*,#4625,.F.)!#6044=ORIENTED_EDGE('',*,*,#4626,.F.)!#6045=ORIENTED_EDGE('',*,*,#4627,.F.)!#6046=ORIENTED_EDGE('',*,*,#4628,.F.)!#6047=ORIENTED_EDGE('',*,*,#4629,.F.)!#6048=ORIENTED_EDGE('',*,*,#4630,.F.)!#6049=ORIENTED_EDGE('',*,*,#4631,.F.)!#6050=ORIENTED_EDGE('',*,*,#4632,.F.)!#6051=ORIENTED_EDGE('',*,*,#4630,.T.)!#6052=ORIENTED_EDGE('',*,*,#4627,.T.)!#6053=ORIENTED_EDGE('',*,*,#4633,.F.)!#6054=ORIENTED_EDGE('',*,*,#4634,.F.)!#6055=ORIENTED_EDGE('',*,*,#4635,.T.)!#6056=ORIENTED_EDGE('',*,*,#4629,.T.)!#6057=ORIENTED_EDGE('',*,*,#4621,.T.)!#6058=ORIENTED_EDGE('',*,*,#4636,.F.)!#6059=ORIENTED_EDGE('',*,*,#4637,.F.)!#6060=ORIENTED_EDGE('',*,*,#4633,.T.)!#6061=ORIENTED_EDGE('',*,*,#4623,.T.)!#6062=ORIENTED_EDGE('',*,*,#4615,.T.)!#6063=ORIENTED_EDGE('',*,*,#4638,.F.)!#6064=ORIENTED_EDGE('',*,*,#4639,.F.)!#6065=ORIENTED_EDGE('',*,*,#4636,.T.)!#6066=ORIENTED_EDGE('',*,*,#4619,.T.)!#6067=ORIENTED_EDGE('',*,*,#4640,.F.)!#6068=ORIENTED_EDGE('',*,*,#4641,.F.)!#6069=ORIENTED_EDGE('',*,*,#4638,.T.)!#6070=ORIENTED_EDGE('',*,*,#4617,.T.)!#6071=ORIENTED_EDGE('',*,*,#4625,.T.)!#6072=ORIENTED_EDGE('',*,*,#4642,.F.)!#6073=ORIENTED_EDGE('',*,*,#4643,.F.)!#6074=ORIENTED_EDGE('',*,*,#4640,.T.)!#6075=ORIENTED_EDGE('',*,*,#4618,.T.)!#6076=ORIENTED_EDGE('',*,*,#4631,.T.)!#6077=ORIENTED_EDGE('',*,*,#4635,.F.)!#6078=ORIENTED_EDGE('',*,*,#4644,.F.)!#6079=ORIENTED_EDGE('',*,*,#4642,.T.)!#6080=ORIENTED_EDGE('',*,*,#4624,.T.)!#6081=ORIENTED_EDGE('',*,*,#4616,.T.)!#6082=ORIENTED_EDGE('',*,*,#4622,.T.)!#6083=ORIENTED_EDGE('',*,*,#4628,.T.)!#6084=ORIENTED_EDGE('',*,*,#4632,.T.)!#6085=ORIENTED_EDGE('',*,*,#4626,.T.)!#6086=ORIENTED_EDGE('',*,*,#4620,.T.)!#6087=ORIENTED_EDGE('',*,*,#4645,.F.)!#6088=ORIENTED_EDGE('',*,*,#4646,.F.)!#6089=ORIENTED_EDGE('',*,*,#4647,.F.)!#6090=ORIENTED_EDGE('',*,*,#4648,.T.)!#6091=ORIENTED_EDGE('',*,*,#4649,.F.)!#6092=ORIENTED_EDGE('',*,*,#4650,.F.)!#6093=ORIENTED_EDGE('',*,*,#4651,.F.)!#6094=ORIENTED_EDGE('',*,*,#4646,.T.)!#6095=ORIENTED_EDGE('',*,*,#4652,.F.)!#6096=ORIENTED_EDGE('',*,*,#4653,.F.)!#6097=ORIENTED_EDGE('',*,*,#4654,.F.)!#6098=ORIENTED_EDGE('',*,*,#4650,.T.)!#6099=ORIENTED_EDGE('',*,*,#4655,.F.)!#6100=ORIENTED_EDGE('',*,*,#4656,.F.)!#6101=ORIENTED_EDGE('',*,*,#4657,.F.)!#6102=ORIENTED_EDGE('',*,*,#4653,.T.)!#6103=ORIENTED_EDGE('',*,*,#4658,.F.)!#6104=ORIENTED_EDGE('',*,*,#4659,.F.)!#6105=ORIENTED_EDGE('',*,*,#4660,.F.)!#6106=ORIENTED_EDGE('',*,*,#4656,.T.)!#6107=ORIENTED_EDGE('',*,*,#4661,.F.)!#6108=ORIENTED_EDGE('',*,*,#4662,.F.)!#6109=ORIENTED_EDGE('',*,*,#4663,.F.)!#6110=ORIENTED_EDGE('',*,*,#4659,.T.)!#6111=ORIENTED_EDGE('',*,*,#4664,.F.)!#6112=ORIENTED_EDGE('',*,*,#4665,.F.)!#6113=ORIENTED_EDGE('',*,*,#4666,.F.)!#6114=ORIENTED_EDGE('',*,*,#4662,.T.)!#6115=ORIENTED_EDGE('',*,*,#4667,.F.)!#6116=ORIENTED_EDGE('',*,*,#4668,.F.)!#6117=ORIENTED_EDGE('',*,*,#4669,.F.)!#6118=ORIENTED_EDGE('',*,*,#4665,.T.)!#6119=ORIENTED_EDGE('',*,*,#4670,.F.)!#6120=ORIENTED_EDGE('',*,*,#4671,.F.)!#6121=ORIENTED_EDGE('',*,*,#4672,.F.)!#6122=ORIENTED_EDGE('',*,*,#4668,.T.)!#6123=ORIENTED_EDGE('',*,*,#4673,.F.)!#6124=ORIENTED_EDGE('',*,*,#4674,.F.)!#6125=ORIENTED_EDGE('',*,*,#4675,.F.)!#6126=ORIENTED_EDGE('',*,*,#4671,.T.)!#6127=ORIENTED_EDGE('',*,*,#4676,.F.)!#6128=ORIENTED_EDGE('',*,*,#4677,.F.)!#6129=ORIENTED_EDGE('',*,*,#4678,.F.)!#6130=ORIENTED_EDGE('',*,*,#4674,.T.)!#6131=ORIENTED_EDGE('',*,*,#4679,.F.)!#6132=ORIENTED_EDGE('',*,*,#4648,.F.)!#6133=ORIENTED_EDGE('',*,*,#4680,.F.)!#6134=ORIENTED_EDGE('',*,*,#4677,.T.)!#6135=ORIENTED_EDGE('',*,*,#4647,.T.)!#6136=ORIENTED_EDGE('',*,*,#4651,.T.)!#6137=ORIENTED_EDGE('',*,*,#4654,.T.)!#6138=ORIENTED_EDGE('',*,*,#4657,.T.)!#6139=ORIENTED_EDGE('',*,*,#4660,.T.)!#6140=ORIENTED_EDGE('',*,*,#4663,.T.)!#6141=ORIENTED_EDGE('',*,*,#4666,.T.)!#6142=ORIENTED_EDGE('',*,*,#4669,.T.)!#6143=ORIENTED_EDGE('',*,*,#4672,.T.)!#6144=ORIENTED_EDGE('',*,*,#4675,.T.)!#6145=ORIENTED_EDGE('',*,*,#4678,.T.)!#6146=ORIENTED_EDGE('',*,*,#4680,.T.)!#6147=ORIENTED_EDGE('',*,*,#4681,.F.)!#6148=ORIENTED_EDGE('',*,*,#4682,.F.)!#6149=ORIENTED_EDGE('',*,*,#4683,.T.)!#6150=ORIENTED_EDGE('',*,*,#4684,.T.)!#6151=ORIENTED_EDGE('',*,*,#4685,.F.)!#6152=ORIENTED_EDGE('',*,*,#4686,.F.)!#6153=ORIENTED_EDGE('',*,*,#4687,.T.)!#6154=ORIENTED_EDGE('',*,*,#4682,.T.)!#6155=ORIENTED_EDGE('',*,*,#4688,.F.)!#6156=ORIENTED_EDGE('',*,*,#4689,.F.)!#6157=ORIENTED_EDGE('',*,*,#4690,.T.)!#6158=ORIENTED_EDGE('',*,*,#4686,.T.)!#6159=ORIENTED_EDGE('',*,*,#4691,.F.)!#6160=ORIENTED_EDGE('',*,*,#4692,.F.)!#6161=ORIENTED_EDGE('',*,*,#4693,.T.)!#6162=ORIENTED_EDGE('',*,*,#4689,.T.)!#6163=ORIENTED_EDGE('',*,*,#4694,.F.)!#6164=ORIENTED_EDGE('',*,*,#4695,.F.)!#6165=ORIENTED_EDGE('',*,*,#4696,.T.)!#6166=ORIENTED_EDGE('',*,*,#4692,.T.)!#6167=ORIENTED_EDGE('',*,*,#4697,.F.)!#6168=ORIENTED_EDGE('',*,*,#4698,.F.)!#6169=ORIENTED_EDGE('',*,*,#4699,.T.)!#6170=ORIENTED_EDGE('',*,*,#4695,.T.)!#6171=ORIENTED_EDGE('',*,*,#4700,.F.)!#6172=ORIENTED_EDGE('',*,*,#4701,.F.)!#6173=ORIENTED_EDGE('',*,*,#4702,.T.)!#6174=ORIENTED_EDGE('',*,*,#4698,.T.)!#6175=ORIENTED_EDGE('',*,*,#4703,.F.)!#6176=ORIENTED_EDGE('',*,*,#4704,.F.)!#6177=ORIENTED_EDGE('',*,*,#4705,.T.)!#6178=ORIENTED_EDGE('',*,*,#4701,.T.)!#6179=ORIENTED_EDGE('',*,*,#4706,.F.)!#6180=ORIENTED_EDGE('',*,*,#4707,.F.)!#6181=ORIENTED_EDGE('',*,*,#4708,.T.)!#6182=ORIENTED_EDGE('',*,*,#4704,.T.)!#6183=ORIENTED_EDGE('',*,*,#4709,.F.)!#6184=ORIENTED_EDGE('',*,*,#4710,.F.)!#6185=ORIENTED_EDGE('',*,*,#4711,.T.)!#6186=ORIENTED_EDGE('',*,*,#4707,.T.)!#6187=ORIENTED_EDGE('',*,*,#4712,.F.)!#6188=ORIENTED_EDGE('',*,*,#4684,.F.)!#6189=ORIENTED_EDGE('',*,*,#4713,.T.)!#6190=ORIENTED_EDGE('',*,*,#4710,.T.)!#6191=ORIENTED_EDGE('',*,*,#4683,.F.)!#6192=ORIENTED_EDGE('',*,*,#4687,.F.)!#6193=ORIENTED_EDGE('',*,*,#4690,.F.)!#6194=ORIENTED_EDGE('',*,*,#4693,.F.)!#6195=ORIENTED_EDGE('',*,*,#4696,.F.)!#6196=ORIENTED_EDGE('',*,*,#4699,.F.)!#6197=ORIENTED_EDGE('',*,*,#4702,.F.)!#6198=ORIENTED_EDGE('',*,*,#4705,.F.)!#6199=ORIENTED_EDGE('',*,*,#4708,.F.)!#6200=ORIENTED_EDGE('',*,*,#4711,.F.)!#6201=ORIENTED_EDGE('',*,*,#4713,.F.)!#6202=ORIENTED_EDGE('',*,*,#4714,.T.)!#6203=ORIENTED_EDGE('',*,*,#4715,.T.)!#6204=ORIENTED_EDGE('',*,*,#4716,.F.)!#6205=ORIENTED_EDGE('',*,*,#4717,.F.)!#6206=ORIENTED_EDGE('',*,*,#4715,.F.)!#6207=ORIENTED_EDGE('',*,*,#4718,.T.)!#6208=ORIENTED_EDGE('',*,*,#4719,.F.)!#6209=ORIENTED_EDGE('',*,*,#4718,.F.)!#6210=ORIENTED_EDGE('',*,*,#4714,.F.)!#6211=ORIENTED_EDGE('',*,*,#4717,.T.)!#6212=ORIENTED_EDGE('',*,*,#4720,.F.)!#6213=ORIENTED_EDGE('',*,*,#4721,.F.)!#6214=ORIENTED_EDGE('',*,*,#4722,.F.)!#6215=ORIENTED_EDGE('',*,*,#4723,.T.)!#6216=ORIENTED_EDGE('',*,*,#4724,.F.)!#6217=ORIENTED_EDGE('',*,*,#4725,.F.)!#6218=ORIENTED_EDGE('',*,*,#4726,.F.)!#6219=ORIENTED_EDGE('',*,*,#4721,.T.)!#6220=ORIENTED_EDGE('',*,*,#4727,.F.)!#6221=ORIENTED_EDGE('',*,*,#4728,.F.)!#6222=ORIENTED_EDGE('',*,*,#4729,.F.)!#6223=ORIENTED_EDGE('',*,*,#4725,.T.)!#6224=ORIENTED_EDGE('',*,*,#4730,.F.)!#6225=ORIENTED_EDGE('',*,*,#4731,.F.)!#6226=ORIENTED_EDGE('',*,*,#4732,.F.)!#6227=ORIENTED_EDGE('',*,*,#4728,.T.)!#6228=ORIENTED_EDGE('',*,*,#4733,.F.)!#6229=ORIENTED_EDGE('',*,*,#4734,.F.)!#6230=ORIENTED_EDGE('',*,*,#4735,.F.)!#6231=ORIENTED_EDGE('',*,*,#4731,.T.)!#6232=ORIENTED_EDGE('',*,*,#4736,.F.)!#6233=ORIENTED_EDGE('',*,*,#4737,.F.)!#6234=ORIENTED_EDGE('',*,*,#4738,.F.)!#6235=ORIENTED_EDGE('',*,*,#4734,.T.)!#6236=ORIENTED_EDGE('',*,*,#4739,.F.)!#6237=ORIENTED_EDGE('',*,*,#4740,.F.)!#6238=ORIENTED_EDGE('',*,*,#4741,.F.)!#6239=ORIENTED_EDGE('',*,*,#4737,.T.)!#6240=ORIENTED_EDGE('',*,*,#4742,.F.)!#6241=ORIENTED_EDGE('',*,*,#4743,.F.)!#6242=ORIENTED_EDGE('',*,*,#4744,.F.)!#6243=ORIENTED_EDGE('',*,*,#4740,.T.)!#6244=ORIENTED_EDGE('',*,*,#4745,.F.)!#6245=ORIENTED_EDGE('',*,*,#4746,.F.)!#6246=ORIENTED_EDGE('',*,*,#4747,.F.)!#6247=ORIENTED_EDGE('',*,*,#4743,.T.)!#6248=ORIENTED_EDGE('',*,*,#4748,.F.)!#6249=ORIENTED_EDGE('',*,*,#4749,.F.)!#6250=ORIENTED_EDGE('',*,*,#4750,.F.)!#6251=ORIENTED_EDGE('',*,*,#4746,.T.)!#6252=ORIENTED_EDGE('',*,*,#4751,.F.)!#6253=ORIENTED_EDGE('',*,*,#4752,.F.)!#6254=ORIENTED_EDGE('',*,*,#4753,.F.)!#6255=ORIENTED_EDGE('',*,*,#4749,.T.)!#6256=ORIENTED_EDGE('',*,*,#4754,.F.)!#6257=ORIENTED_EDGE('',*,*,#4755,.F.)!#6258=ORIENTED_EDGE('',*,*,#4756,.F.)!#6259=ORIENTED_EDGE('',*,*,#4752,.T.)!#6260=ORIENTED_EDGE('',*,*,#4757,.F.)!#6261=ORIENTED_EDGE('',*,*,#4758,.F.)!#6262=ORIENTED_EDGE('',*,*,#4759,.F.)!#6263=ORIENTED_EDGE('',*,*,#4755,.T.)!#6264=ORIENTED_EDGE('',*,*,#4760,.F.)!#6265=ORIENTED_EDGE('',*,*,#4761,.F.)!#6266=ORIENTED_EDGE('',*,*,#4762,.F.)!#6267=ORIENTED_EDGE('',*,*,#4758,.T.)!#6268=ORIENTED_EDGE('',*,*,#4763,.F.)!#6269=ORIENTED_EDGE('',*,*,#4764,.F.)!#6270=ORIENTED_EDGE('',*,*,#4765,.F.)!#6271=ORIENTED_EDGE('',*,*,#4761,.T.)!#6272=ORIENTED_EDGE('',*,*,#4766,.F.)!#6273=ORIENTED_EDGE('',*,*,#4767,.F.)!#6274=ORIENTED_EDGE('',*,*,#4768,.F.)!#6275=ORIENTED_EDGE('',*,*,#4764,.T.)!#6276=ORIENTED_EDGE('',*,*,#4769,.F.)!#6277=ORIENTED_EDGE('',*,*,#4770,.F.)!#6278=ORIENTED_EDGE('',*,*,#4771,.F.)!#6279=ORIENTED_EDGE('',*,*,#4767,.T.)!#6280=ORIENTED_EDGE('',*,*,#4772,.F.)!#6281=ORIENTED_EDGE('',*,*,#4773,.F.)!#6282=ORIENTED_EDGE('',*,*,#4774,.F.)!#6283=ORIENTED_EDGE('',*,*,#4770,.T.)!#6284=ORIENTED_EDGE('',*,*,#4775,.F.)!#6285=ORIENTED_EDGE('',*,*,#4723,.F.)!#6286=ORIENTED_EDGE('',*,*,#4776,.F.)!#6287=ORIENTED_EDGE('',*,*,#4773,.T.)!#6288=ORIENTED_EDGE('',*,*,#4722,.T.)!#6289=ORIENTED_EDGE('',*,*,#4726,.T.)!#6290=ORIENTED_EDGE('',*,*,#4729,.T.)!#6291=ORIENTED_EDGE('',*,*,#4732,.T.)!#6292=ORIENTED_EDGE('',*,*,#4735,.T.)!#6293=ORIENTED_EDGE('',*,*,#4738,.T.)!#6294=ORIENTED_EDGE('',*,*,#4741,.T.)!#6295=ORIENTED_EDGE('',*,*,#4744,.T.)!#6296=ORIENTED_EDGE('',*,*,#4747,.T.)!#6297=ORIENTED_EDGE('',*,*,#4750,.T.)!#6298=ORIENTED_EDGE('',*,*,#4753,.T.)!#6299=ORIENTED_EDGE('',*,*,#4756,.T.)!#6300=ORIENTED_EDGE('',*,*,#4759,.T.)!#6301=ORIENTED_EDGE('',*,*,#4762,.T.)!#6302=ORIENTED_EDGE('',*,*,#4765,.T.)!#6303=ORIENTED_EDGE('',*,*,#4768,.T.)!#6304=ORIENTED_EDGE('',*,*,#4771,.T.)!#6305=ORIENTED_EDGE('',*,*,#4774,.T.)!#6306=ORIENTED_EDGE('',*,*,#4776,.T.)!#6307=ORIENTED_EDGE('',*,*,#4777,.F.)!#6308=ORIENTED_EDGE('',*,*,#4778,.F.)!#6309=ORIENTED_EDGE('',*,*,#4779,.F.)!#6310=ORIENTED_EDGE('',*,*,#4780,.T.)!#6311=ORIENTED_EDGE('',*,*,#4781,.F.)!#6312=ORIENTED_EDGE('',*,*,#4782,.F.)!#6313=ORIENTED_EDGE('',*,*,#4783,.F.)!#6314=ORIENTED_EDGE('',*,*,#4778,.T.)!#6315=ORIENTED_EDGE('',*,*,#4784,.F.)!#6316=ORIENTED_EDGE('',*,*,#4785,.F.)!#6317=ORIENTED_EDGE('',*,*,#4786,.F.)!#6318=ORIENTED_EDGE('',*,*,#4782,.T.)!#6319=ORIENTED_EDGE('',*,*,#4787,.F.)!#6320=ORIENTED_EDGE('',*,*,#4788,.F.)!#6321=ORIENTED_EDGE('',*,*,#4789,.F.)!#6322=ORIENTED_EDGE('',*,*,#4785,.T.)!#6323=ORIENTED_EDGE('',*,*,#4790,.F.)!#6324=ORIENTED_EDGE('',*,*,#4791,.F.)!#6325=ORIENTED_EDGE('',*,*,#4792,.F.)!#6326=ORIENTED_EDGE('',*,*,#4788,.T.)!#6327=ORIENTED_EDGE('',*,*,#4793,.F.)!#6328=ORIENTED_EDGE('',*,*,#4794,.F.)!#6329=ORIENTED_EDGE('',*,*,#4795,.F.)!#6330=ORIENTED_EDGE('',*,*,#4791,.T.)!#6331=ORIENTED_EDGE('',*,*,#4796,.F.)!#6332=ORIENTED_EDGE('',*,*,#4797,.F.)!#6333=ORIENTED_EDGE('',*,*,#4798,.F.)!#6334=ORIENTED_EDGE('',*,*,#4794,.T.)!#6335=ORIENTED_EDGE('',*,*,#4799,.F.)!#6336=ORIENTED_EDGE('',*,*,#4800,.F.)!#6337=ORIENTED_EDGE('',*,*,#4801,.F.)!#6338=ORIENTED_EDGE('',*,*,#4797,.T.)!#6339=ORIENTED_EDGE('',*,*,#4802,.F.)!#6340=ORIENTED_EDGE('',*,*,#4803,.F.)!#6341=ORIENTED_EDGE('',*,*,#4804,.F.)!#6342=ORIENTED_EDGE('',*,*,#4800,.T.)!#6343=ORIENTED_EDGE('',*,*,#4805,.F.)!#6344=ORIENTED_EDGE('',*,*,#4806,.F.)!#6345=ORIENTED_EDGE('',*,*,#4807,.F.)!#6346=ORIENTED_EDGE('',*,*,#4803,.T.)!#6347=ORIENTED_EDGE('',*,*,#4808,.F.)!#6348=ORIENTED_EDGE('',*,*,#4809,.F.)!#6349=ORIENTED_EDGE('',*,*,#4810,.F.)!#6350=ORIENTED_EDGE('',*,*,#4806,.T.)!#6351=ORIENTED_EDGE('',*,*,#4811,.F.)!#6352=ORIENTED_EDGE('',*,*,#4812,.F.)!#6353=ORIENTED_EDGE('',*,*,#4813,.F.)!#6354=ORIENTED_EDGE('',*,*,#4809,.T.)!#6355=ORIENTED_EDGE('',*,*,#4814,.F.)!#6356=ORIENTED_EDGE('',*,*,#4815,.F.)!#6357=ORIENTED_EDGE('',*,*,#4816,.F.)!#6358=ORIENTED_EDGE('',*,*,#4812,.T.)!#6359=ORIENTED_EDGE('',*,*,#4817,.F.)!#6360=ORIENTED_EDGE('',*,*,#4818,.F.)!#6361=ORIENTED_EDGE('',*,*,#4819,.F.)!#6362=ORIENTED_EDGE('',*,*,#4815,.T.)!#6363=ORIENTED_EDGE('',*,*,#4820,.F.)!#6364=ORIENTED_EDGE('',*,*,#4821,.F.)!#6365=ORIENTED_EDGE('',*,*,#4822,.F.)!#6366=ORIENTED_EDGE('',*,*,#4818,.T.)!#6367=ORIENTED_EDGE('',*,*,#4823,.F.)!#6368=ORIENTED_EDGE('',*,*,#4824,.F.)!#6369=ORIENTED_EDGE('',*,*,#4825,.F.)!#6370=ORIENTED_EDGE('',*,*,#4821,.T.)!#6371=ORIENTED_EDGE('',*,*,#4826,.F.)!#6372=ORIENTED_EDGE('',*,*,#4827,.F.)!#6373=ORIENTED_EDGE('',*,*,#4828,.F.)!#6374=ORIENTED_EDGE('',*,*,#4824,.T.)!#6375=ORIENTED_EDGE('',*,*,#4829,.F.)!#6376=ORIENTED_EDGE('',*,*,#4830,.F.)!#6377=ORIENTED_EDGE('',*,*,#4831,.F.)!#6378=ORIENTED_EDGE('',*,*,#4827,.T.)!#6379=ORIENTED_EDGE('',*,*,#4832,.F.)!#6380=ORIENTED_EDGE('',*,*,#4833,.F.)!#6381=ORIENTED_EDGE('',*,*,#4834,.F.)!#6382=ORIENTED_EDGE('',*,*,#4830,.T.)!#6383=ORIENTED_EDGE('',*,*,#4835,.F.)!#6384=ORIENTED_EDGE('',*,*,#4836,.F.)!#6385=ORIENTED_EDGE('',*,*,#4837,.F.)!#6386=ORIENTED_EDGE('',*,*,#4833,.T.)!#6387=ORIENTED_EDGE('',*,*,#4838,.F.)!#6388=ORIENTED_EDGE('',*,*,#4839,.F.)!#6389=ORIENTED_EDGE('',*,*,#4840,.F.)!#6390=ORIENTED_EDGE('',*,*,#4836,.T.)!#6391=ORIENTED_EDGE('',*,*,#4841,.F.)!#6392=ORIENTED_EDGE('',*,*,#4842,.F.)!#6393=ORIENTED_EDGE('',*,*,#4843,.F.)!#6394=ORIENTED_EDGE('',*,*,#4839,.T.)!#6395=ORIENTED_EDGE('',*,*,#4844,.F.)!#6396=ORIENTED_EDGE('',*,*,#4845,.F.)!#6397=ORIENTED_EDGE('',*,*,#4846,.F.)!#6398=ORIENTED_EDGE('',*,*,#4842,.T.)!#6399=ORIENTED_EDGE('',*,*,#4847,.F.)!#6400=ORIENTED_EDGE('',*,*,#4848,.F.)!#6401=ORIENTED_EDGE('',*,*,#4849,.F.)!#6402=ORIENTED_EDGE('',*,*,#4845,.T.)!#6403=ORIENTED_EDGE('',*,*,#4850,.F.)!#6404=ORIENTED_EDGE('',*,*,#4851,.F.)!#6405=ORIENTED_EDGE('',*,*,#4852,.F.)!#6406=ORIENTED_EDGE('',*,*,#4848,.T.)!#6407=ORIENTED_EDGE('',*,*,#4853,.F.)!#6408=ORIENTED_EDGE('',*,*,#4854,.F.)!#6409=ORIENTED_EDGE('',*,*,#4855,.F.)!#6410=ORIENTED_EDGE('',*,*,#4851,.T.)!#6411=ORIENTED_EDGE('',*,*,#4856,.F.)!#6412=ORIENTED_EDGE('',*,*,#4780,.F.)!#6413=ORIENTED_EDGE('',*,*,#4857,.F.)!#6414=ORIENTED_EDGE('',*,*,#4854,.T.)!#6415=ORIENTED_EDGE('',*,*,#4798,.T.)!#6416=ORIENTED_EDGE('',*,*,#4801,.T.)!#6417=ORIENTED_EDGE('',*,*,#4804,.T.)!#6418=ORIENTED_EDGE('',*,*,#4807,.T.)!#6419=ORIENTED_EDGE('',*,*,#4810,.T.)!#6420=ORIENTED_EDGE('',*,*,#4813,.T.)!#6421=ORIENTED_EDGE('',*,*,#4816,.T.)!#6422=ORIENTED_EDGE('',*,*,#4819,.T.)!#6423=ORIENTED_EDGE('',*,*,#4822,.T.)!#6424=ORIENTED_EDGE('',*,*,#4825,.T.)!#6425=ORIENTED_EDGE('',*,*,#4828,.T.)!#6426=ORIENTED_EDGE('',*,*,#4831,.T.)!#6427=ORIENTED_EDGE('',*,*,#4834,.T.)!#6428=ORIENTED_EDGE('',*,*,#4837,.T.)!#6429=ORIENTED_EDGE('',*,*,#4840,.T.)!#6430=ORIENTED_EDGE('',*,*,#4843,.T.)!#6431=ORIENTED_EDGE('',*,*,#4846,.T.)!#6432=ORIENTED_EDGE('',*,*,#4849,.T.)!#6433=ORIENTED_EDGE('',*,*,#4852,.T.)!#6434=ORIENTED_EDGE('',*,*,#4855,.T.)!#6435=ORIENTED_EDGE('',*,*,#4857,.T.)!#6436=ORIENTED_EDGE('',*,*,#4779,.T.)!#6437=ORIENTED_EDGE('',*,*,#4783,.T.)!#6438=ORIENTED_EDGE('',*,*,#4786,.T.)!#6439=ORIENTED_EDGE('',*,*,#4789,.T.)!#6440=ORIENTED_EDGE('',*,*,#4792,.T.)!#6441=ORIENTED_EDGE('',*,*,#4795,.T.)!#6442=ORIENTED_EDGE('',*,*,#4858,.F.)!#6443=ORIENTED_EDGE('',*,*,#4859,.F.)!#6444=ORIENTED_EDGE('',*,*,#4860,.T.)!#6445=ORIENTED_EDGE('',*,*,#4861,.F.)!#6446=ORIENTED_EDGE('',*,*,#4862,.F.)!#6447=ORIENTED_EDGE('',*,*,#4860,.F.)!#6448=ORIENTED_EDGE('',*,*,#4863,.F.)!#6449=ORIENTED_EDGE('',*,*,#4864,.F.)!#6450=ORIENTED_EDGE('',*,*,#4865,.F.)!#6451=ORIENTED_EDGE('',*,*,#4866,.F.)!#6452=ORIENTED_EDGE('',*,*,#4867,.F.)!#6453=ORIENTED_EDGE('',*,*,#4868,.F.)!#6454=ORIENTED_EDGE('',*,*,#4869,.F.)!#6455=ORIENTED_EDGE('',*,*,#4870,.F.)!#6456=ORIENTED_EDGE('',*,*,#4871,.F.)!#6457=ORIENTED_EDGE('',*,*,#4872,.F.)!#6458=ORIENTED_EDGE('',*,*,#4873,.F.)!#6459=ORIENTED_EDGE('',*,*,#4874,.F.)!#6460=ORIENTED_EDGE('',*,*,#4874,.T.)!#6461=ORIENTED_EDGE('',*,*,#4869,.T.)!#6462=ORIENTED_EDGE('',*,*,#4875,.F.)!#6463=ORIENTED_EDGE('',*,*,#4876,.F.)!#6464=ORIENTED_EDGE('',*,*,#4877,.T.)!#6465=ORIENTED_EDGE('',*,*,#4871,.T.)!#6466=ORIENTED_EDGE('',*,*,#4863,.T.)!#6467=ORIENTED_EDGE('',*,*,#4878,.F.)!#6468=ORIENTED_EDGE('',*,*,#4879,.F.)!#6469=ORIENTED_EDGE('',*,*,#4875,.T.)!#6470=ORIENTED_EDGE('',*,*,#4872,.T.)!#6471=ORIENTED_EDGE('',*,*,#4877,.F.)!#6472=ORIENTED_EDGE('',*,*,#4880,.F.)!#6473=ORIENTED_EDGE('',*,*,#4881,.T.)!#6474=ORIENTED_EDGE('',*,*,#4866,.T.)!#6475=ORIENTED_EDGE('',*,*,#4867,.T.)!#6476=ORIENTED_EDGE('',*,*,#4881,.F.)!#6477=ORIENTED_EDGE('',*,*,#4882,.F.)!#6478=ORIENTED_EDGE('',*,*,#4878,.T.)!#6479=ORIENTED_EDGE('',*,*,#4865,.T.)!#6480=ORIENTED_EDGE('',*,*,#4864,.T.)!#6481=ORIENTED_EDGE('',*,*,#4870,.T.)!#6482=ORIENTED_EDGE('',*,*,#4873,.T.)!#6483=ORIENTED_EDGE('',*,*,#4868,.T.)!#6484=ORIENTED_EDGE('',*,*,#4883,.F.)!#6485=ORIENTED_EDGE('',*,*,#4884,.F.)!#6486=ORIENTED_EDGE('',*,*,#4885,.T.)!#6487=ORIENTED_EDGE('',*,*,#4886,.F.)!#6488=ORIENTED_EDGE('',*,*,#4887,.T.)!#6489=ORIENTED_EDGE('',*,*,#4888,.T.)!#6490=ORIENTED_EDGE('',*,*,#4889,.T.)!#6491=ORIENTED_EDGE('',*,*,#4890,.T.)!#6492=ORIENTED_EDGE('',*,*,#4876,.T.)!#6493=ORIENTED_EDGE('',*,*,#4879,.T.)!#6494=ORIENTED_EDGE('',*,*,#4882,.T.)!#6495=ORIENTED_EDGE('',*,*,#4880,.T.)!#6496=ORIENTED_EDGE('',*,*,#4891,.F.)!#6497=ORIENTED_EDGE('',*,*,#4892,.F.)!#6498=ORIENTED_EDGE('',*,*,#4893,.T.)!#6499=ORIENTED_EDGE('',*,*,#4894,.F.)!#6500=ORIENTED_EDGE('',*,*,#4895,.F.)!#6501=ORIENTED_EDGE('',*,*,#4893,.F.)!#6502=ORIENTED_EDGE('',*,*,#4896,.F.)!#6503=ORIENTED_EDGE('',*,*,#4897,.F.)!#6504=ORIENTED_EDGE('',*,*,#4898,.F.)!#6505=ORIENTED_EDGE('',*,*,#4899,.F.)!#6506=ORIENTED_EDGE('',*,*,#4900,.F.)!#6507=ORIENTED_EDGE('',*,*,#4901,.F.)!#6508=ORIENTED_EDGE('',*,*,#4902,.F.)!#6509=ORIENTED_EDGE('',*,*,#4903,.F.)!#6510=ORIENTED_EDGE('',*,*,#4904,.F.)!#6511=ORIENTED_EDGE('',*,*,#4905,.F.)!#6512=ORIENTED_EDGE('',*,*,#4906,.F.)!#6513=ORIENTED_EDGE('',*,*,#4907,.F.)!#6514=ORIENTED_EDGE('',*,*,#4907,.T.)!#6515=ORIENTED_EDGE('',*,*,#4902,.T.)!#6516=ORIENTED_EDGE('',*,*,#4908,.F.)!#6517=ORIENTED_EDGE('',*,*,#4887,.F.)!#6518=ORIENTED_EDGE('',*,*,#4909,.T.)!#6519=ORIENTED_EDGE('',*,*,#4904,.T.)!#6520=ORIENTED_EDGE('',*,*,#4896,.T.)!#6521=ORIENTED_EDGE('',*,*,#4910,.F.)!#6522=ORIENTED_EDGE('',*,*,#4888,.F.)!#6523=ORIENTED_EDGE('',*,*,#4908,.T.)!#6524=ORIENTED_EDGE('',*,*,#4905,.T.)!#6525=ORIENTED_EDGE('',*,*,#4909,.F.)!#6526=ORIENTED_EDGE('',*,*,#4890,.F.)!#6527=ORIENTED_EDGE('',*,*,#4911,.T.)!#6528=ORIENTED_EDGE('',*,*,#4899,.T.)!#6529=ORIENTED_EDGE('',*,*,#4900,.T.)!#6530=ORIENTED_EDGE('',*,*,#4911,.F.)!#6531=ORIENTED_EDGE('',*,*,#4889,.F.)!#6532=ORIENTED_EDGE('',*,*,#4910,.T.)!#6533=ORIENTED_EDGE('',*,*,#4898,.T.)!#6534=ORIENTED_EDGE('',*,*,#4897,.T.)!#6535=ORIENTED_EDGE('',*,*,#4903,.T.)!#6536=ORIENTED_EDGE('',*,*,#4906,.T.)!#6537=ORIENTED_EDGE('',*,*,#4901,.T.)!#6538=ORIENTED_EDGE('',*,*,#4912,.T.)!#6539=ORIENTED_EDGE('',*,*,#4913,.T.)!#6540=ORIENTED_EDGE('',*,*,#4913,.F.)!#6541=ORIENTED_EDGE('',*,*,#4914,.T.)!#6542=ORIENTED_EDGE('',*,*,#4915,.F.)!#6543=ORIENTED_EDGE('',*,*,#4916,.F.)!#6544=ORIENTED_EDGE('',*,*,#4917,.T.)!#6545=ORIENTED_EDGE('',*,*,#4918,.F.)!#6546=ORIENTED_EDGE('',*,*,#4919,.F.)!#6547=ORIENTED_EDGE('',*,*,#4917,.F.)!#6548=ORIENTED_EDGE('',*,*,#4912,.F.)!#6549=ORIENTED_EDGE('',*,*,#4914,.F.)!#6550=ORIENTED_EDGE('',*,*,#4920,.T.)!#6551=ORIENTED_EDGE('',*,*,#4916,.T.)!#6552=ORIENTED_EDGE('',*,*,#4915,.T.)!#6553=ORIENTED_EDGE('',*,*,#4920,.F.)!#6554=ORIENTED_EDGE('',*,*,#4891,.T.)!#6555=ORIENTED_EDGE('',*,*,#4892,.T.)!#6556=ORIENTED_EDGE('',*,*,#4921,.F.)!#6557=ORIENTED_EDGE('',*,*,#4922,.F.)!#6558=ORIENTED_EDGE('',*,*,#4923,.F.)!#6559=ORIENTED_EDGE('',*,*,#4924,.F.)!#6560=ORIENTED_EDGE('',*,*,#4925,.F.)!#6561=ORIENTED_EDGE('',*,*,#4926,.T.)!#6562=ORIENTED_EDGE('',*,*,#4927,.T.)!#6563=ORIENTED_EDGE('',*,*,#4886,.T.)!#6564=ORIENTED_EDGE('',*,*,#4928,.T.)!#6565=ORIENTED_EDGE('',*,*,#4929,.T.)!#6566=ORIENTED_EDGE('',*,*,#4930,.T.)!#6567=ORIENTED_EDGE('',*,*,#4931,.F.)!#6568=ORIENTED_EDGE('',*,*,#4932,.T.)!#6569=ORIENTED_EDGE('',*,*,#4933,.F.)!#6570=ORIENTED_EDGE('',*,*,#4932,.F.)!#6571=ORIENTED_EDGE('',*,*,#4894,.T.)!#6572=ORIENTED_EDGE('',*,*,#4895,.T.)!#6573=ORIENTED_EDGE('',*,*,#4930,.F.)!#6574=ORIENTED_EDGE('',*,*,#4934,.T.)!#6575=ORIENTED_EDGE('',*,*,#4935,.F.)!#6576=ORIENTED_EDGE('',*,*,#4934,.F.)!#6577=ORIENTED_EDGE('',*,*,#4861,.T.)!#6578=ORIENTED_EDGE('',*,*,#4862,.T.)!#6579=ORIENTED_EDGE('',*,*,#4936,.F.)!#6580=ORIENTED_EDGE('',*,*,#4937,.F.)!#6581=ORIENTED_EDGE('',*,*,#4938,.T.)!#6582=ORIENTED_EDGE('',*,*,#4580,.T.)!#6583=ORIENTED_EDGE('',*,*,#4938,.F.)!#6584=ORIENTED_EDGE('',*,*,#4939,.F.)!#6585=ORIENTED_EDGE('',*,*,#4940,.F.)!#6586=ORIENTED_EDGE('',*,*,#4941,.T.)!#6587=ORIENTED_EDGE('',*,*,#4942,.T.)!#6588=ORIENTED_EDGE('',*,*,#4941,.F.)!#6589=ORIENTED_EDGE('',*,*,#4885,.F.)!#6590=ORIENTED_EDGE('',*,*,#4943,.F.)!#6591=ORIENTED_EDGE('',*,*,#4944,.T.)!#6592=ORIENTED_EDGE('',*,*,#4928,.F.)!#6593=ORIENTED_EDGE('',*,*,#4944,.F.)!#6594=ORIENTED_EDGE('',*,*,#4945,.F.)!#6595=ORIENTED_EDGE('',*,*,#4946,.F.)!#6596=ORIENTED_EDGE('',*,*,#4926,.F.)!#6597=ORIENTED_EDGE('',*,*,#4947,.F.)!#6598=ORIENTED_EDGE('',*,*,#4929,.F.)!#6599=ORIENTED_EDGE('',*,*,#4946,.T.)!#6600=ORIENTED_EDGE('',*,*,#4948,.F.)!#6601=ORIENTED_EDGE('',*,*,#4883,.T.)!#6602=ORIENTED_EDGE('',*,*,#4927,.F.)!#6603=ORIENTED_EDGE('',*,*,#4948,.T.)!#6604=ORIENTED_EDGE('',*,*,#4945,.T.)!#6605=ORIENTED_EDGE('',*,*,#4943,.T.)!#6606=ORIENTED_EDGE('',*,*,#4884,.T.)!#6607=ORIENTED_EDGE('',*,*,#4936,.T.)!#6608=ORIENTED_EDGE('',*,*,#4937,.T.)!#6609=ORIENTED_EDGE('',*,*,#4939,.T.)!#6610=ORIENTED_EDGE('',*,*,#4940,.T.)!#6611=ORIENTED_EDGE('',*,*,#4935,.T.)!#6612=ORIENTED_EDGE('',*,*,#4933,.T.)!#6613=ORIENTED_EDGE('',*,*,#4947,.T.)!#6614=ORIENTED_EDGE('',*,*,#4949,.F.)!#6615=ORIENTED_EDGE('',*,*,#4950,.T.)!#6616=ORIENTED_EDGE('',*,*,#4951,.F.)!#6617=ORIENTED_EDGE('',*,*,#4952,.F.)!#6618=ORIENTED_EDGE('',*,*,#4953,.F.)!#6619=ORIENTED_EDGE('',*,*,#4954,.T.)!#6620=ORIENTED_EDGE('',*,*,#4955,.F.)!#6621=ORIENTED_EDGE('',*,*,#4956,.F.)!#6622=ORIENTED_EDGE('',*,*,#4954,.F.)!#6623=ORIENTED_EDGE('',*,*,#4957,.F.)!#6624=ORIENTED_EDGE('',*,*,#4958,.F.)!#6625=ORIENTED_EDGE('',*,*,#4959,.F.)!#6626=ORIENTED_EDGE('',*,*,#4960,.F.)!#6627=ORIENTED_EDGE('',*,*,#4961,.F.)!#6628=ORIENTED_EDGE('',*,*,#4962,.F.)!#6629=ORIENTED_EDGE('',*,*,#4963,.F.)!#6630=ORIENTED_EDGE('',*,*,#4964,.F.)!#6631=ORIENTED_EDGE('',*,*,#4965,.F.)!#6632=ORIENTED_EDGE('',*,*,#4966,.F.)!#6633=ORIENTED_EDGE('',*,*,#4967,.F.)!#6634=ORIENTED_EDGE('',*,*,#4968,.F.)!#6635=ORIENTED_EDGE('',*,*,#4968,.T.)!#6636=ORIENTED_EDGE('',*,*,#4963,.T.)!#6637=ORIENTED_EDGE('',*,*,#4969,.F.)!#6638=ORIENTED_EDGE('',*,*,#4970,.F.)!#6639=ORIENTED_EDGE('',*,*,#4971,.T.)!#6640=ORIENTED_EDGE('',*,*,#4965,.T.)!#6641=ORIENTED_EDGE('',*,*,#4957,.T.)!#6642=ORIENTED_EDGE('',*,*,#4972,.F.)!#6643=ORIENTED_EDGE('',*,*,#4973,.F.)!#6644=ORIENTED_EDGE('',*,*,#4969,.T.)!#6645=ORIENTED_EDGE('',*,*,#4966,.T.)!#6646=ORIENTED_EDGE('',*,*,#4971,.F.)!#6647=ORIENTED_EDGE('',*,*,#4974,.F.)!#6648=ORIENTED_EDGE('',*,*,#4975,.T.)!#6649=ORIENTED_EDGE('',*,*,#4960,.T.)!#6650=ORIENTED_EDGE('',*,*,#4961,.T.)!#6651=ORIENTED_EDGE('',*,*,#4975,.F.)!#6652=ORIENTED_EDGE('',*,*,#4976,.F.)!#6653=ORIENTED_EDGE('',*,*,#4972,.T.)!#6654=ORIENTED_EDGE('',*,*,#4959,.T.)!#6655=ORIENTED_EDGE('',*,*,#4958,.T.)!#6656=ORIENTED_EDGE('',*,*,#4964,.T.)!#6657=ORIENTED_EDGE('',*,*,#4967,.T.)!#6658=ORIENTED_EDGE('',*,*,#4962,.T.)!#6659=ORIENTED_EDGE('',*,*,#4977,.F.)!#6660=ORIENTED_EDGE('',*,*,#4978,.F.)!#6661=ORIENTED_EDGE('',*,*,#4979,.T.)!#6662=ORIENTED_EDGE('',*,*,#4980,.F.)!#6663=ORIENTED_EDGE('',*,*,#4981,.T.)!#6664=ORIENTED_EDGE('',*,*,#4982,.T.)!#6665=ORIENTED_EDGE('',*,*,#4983,.T.)!#6666=ORIENTED_EDGE('',*,*,#4984,.T.)!#6667=ORIENTED_EDGE('',*,*,#4970,.T.)!#6668=ORIENTED_EDGE('',*,*,#4973,.T.)!#6669=ORIENTED_EDGE('',*,*,#4976,.T.)!#6670=ORIENTED_EDGE('',*,*,#4974,.T.)!#6671=ORIENTED_EDGE('',*,*,#4985,.F.)!#6672=ORIENTED_EDGE('',*,*,#4986,.F.)!#6673=ORIENTED_EDGE('',*,*,#4987,.T.)!#6674=ORIENTED_EDGE('',*,*,#4988,.F.)!#6675=ORIENTED_EDGE('',*,*,#4989,.F.)!#6676=ORIENTED_EDGE('',*,*,#4987,.F.)!#6677=ORIENTED_EDGE('',*,*,#4990,.F.)!#6678=ORIENTED_EDGE('',*,*,#4991,.F.)!#6679=ORIENTED_EDGE('',*,*,#4992,.F.)!#6680=ORIENTED_EDGE('',*,*,#4993,.F.)!#6681=ORIENTED_EDGE('',*,*,#4994,.F.)!#6682=ORIENTED_EDGE('',*,*,#4995,.F.)!#6683=ORIENTED_EDGE('',*,*,#4996,.F.)!#6684=ORIENTED_EDGE('',*,*,#4997,.F.)!#6685=ORIENTED_EDGE('',*,*,#4998,.F.)!#6686=ORIENTED_EDGE('',*,*,#4999,.F.)!#6687=ORIENTED_EDGE('',*,*,#5000,.F.)!#6688=ORIENTED_EDGE('',*,*,#5001,.F.)!#6689=ORIENTED_EDGE('',*,*,#5001,.T.)!#6690=ORIENTED_EDGE('',*,*,#4996,.T.)!#6691=ORIENTED_EDGE('',*,*,#5002,.F.)!#6692=ORIENTED_EDGE('',*,*,#4981,.F.)!#6693=ORIENTED_EDGE('',*,*,#5003,.T.)!#6694=ORIENTED_EDGE('',*,*,#4998,.T.)!#6695=ORIENTED_EDGE('',*,*,#4990,.T.)!#6696=ORIENTED_EDGE('',*,*,#5004,.F.)!#6697=ORIENTED_EDGE('',*,*,#4982,.F.)!#6698=ORIENTED_EDGE('',*,*,#5002,.T.)!#6699=ORIENTED_EDGE('',*,*,#4999,.T.)!#6700=ORIENTED_EDGE('',*,*,#5003,.F.)!#6701=ORIENTED_EDGE('',*,*,#4984,.F.)!#6702=ORIENTED_EDGE('',*,*,#5005,.T.)!#6703=ORIENTED_EDGE('',*,*,#4993,.T.)!#6704=ORIENTED_EDGE('',*,*,#4994,.T.)!#6705=ORIENTED_EDGE('',*,*,#5005,.F.)!#6706=ORIENTED_EDGE('',*,*,#4983,.F.)!#6707=ORIENTED_EDGE('',*,*,#5004,.T.)!#6708=ORIENTED_EDGE('',*,*,#4992,.T.)!#6709=ORIENTED_EDGE('',*,*,#4991,.T.)!#6710=ORIENTED_EDGE('',*,*,#4997,.T.)!#6711=ORIENTED_EDGE('',*,*,#5000,.T.)!#6712=ORIENTED_EDGE('',*,*,#4995,.T.)!#6713=ORIENTED_EDGE('',*,*,#5006,.T.)!#6714=ORIENTED_EDGE('',*,*,#5007,.T.)!#6715=ORIENTED_EDGE('',*,*,#5007,.F.)!#6716=ORIENTED_EDGE('',*,*,#5008,.T.)!#6717=ORIENTED_EDGE('',*,*,#5009,.F.)!#6718=ORIENTED_EDGE('',*,*,#5010,.F.)!#6719=ORIENTED_EDGE('',*,*,#5011,.T.)!#6720=ORIENTED_EDGE('',*,*,#5012,.F.)!#6721=ORIENTED_EDGE('',*,*,#5013,.F.)!#6722=ORIENTED_EDGE('',*,*,#5011,.F.)!#6723=ORIENTED_EDGE('',*,*,#5006,.F.)!#6724=ORIENTED_EDGE('',*,*,#5008,.F.)!#6725=ORIENTED_EDGE('',*,*,#5014,.T.)!#6726=ORIENTED_EDGE('',*,*,#5010,.T.)!#6727=ORIENTED_EDGE('',*,*,#5009,.T.)!#6728=ORIENTED_EDGE('',*,*,#5014,.F.)!#6729=ORIENTED_EDGE('',*,*,#4985,.T.)!#6730=ORIENTED_EDGE('',*,*,#4986,.T.)!#6731=ORIENTED_EDGE('',*,*,#5015,.F.)!#6732=ORIENTED_EDGE('',*,*,#5016,.T.)!#6733=ORIENTED_EDGE('',*,*,#5017,.F.)!#6734=ORIENTED_EDGE('',*,*,#5016,.F.)!#6735=ORIENTED_EDGE('',*,*,#4988,.T.)!#6736=ORIENTED_EDGE('',*,*,#4989,.T.)!#6737=ORIENTED_EDGE('',*,*,#5018,.F.)!#6738=ORIENTED_EDGE('',*,*,#5019,.T.)!#6739=ORIENTED_EDGE('',*,*,#5020,.F.)!#6740=ORIENTED_EDGE('',*,*,#5019,.F.)!#6741=ORIENTED_EDGE('',*,*,#4955,.T.)!#6742=ORIENTED_EDGE('',*,*,#4956,.T.)!#6743=ORIENTED_EDGE('',*,*,#5021,.T.)!#6744=ORIENTED_EDGE('',*,*,#5022,.T.)!#6745=ORIENTED_EDGE('',*,*,#5023,.T.)!#6746=ORIENTED_EDGE('',*,*,#5024,.F.)!#6747=ORIENTED_EDGE('',*,*,#5023,.F.)!#6748=ORIENTED_EDGE('',*,*,#4613,.T.)!#6749=ORIENTED_EDGE('',*,*,#4614,.T.)!#6750=ORIENTED_EDGE('',*,*,#5025,.T.)!#6751=ORIENTED_EDGE('',*,*,#5026,.F.)!#6752=ORIENTED_EDGE('',*,*,#5025,.F.)!#6753=ORIENTED_EDGE('',*,*,#5027,.T.)!#6754=ORIENTED_EDGE('',*,*,#4980,.T.)!#6755=ORIENTED_EDGE('',*,*,#5028,.T.)!#6756=ORIENTED_EDGE('',*,*,#5029,.T.)!#6757=ORIENTED_EDGE('',*,*,#5024,.T.)!#6758=ORIENTED_EDGE('',*,*,#5026,.T.)!#6759=ORIENTED_EDGE('',*,*,#5018,.T.)!#6760=ORIENTED_EDGE('',*,*,#5015,.T.)!#6761=ORIENTED_EDGE('',*,*,#4979,.F.)!#6762=ORIENTED_EDGE('',*,*,#5030,.F.)!#6763=ORIENTED_EDGE('',*,*,#5031,.T.)!#6764=ORIENTED_EDGE('',*,*,#5028,.F.)!#6765=ORIENTED_EDGE('',*,*,#5031,.F.)!#6766=ORIENTED_EDGE('',*,*,#5032,.F.)!#6767=ORIENTED_EDGE('',*,*,#5033,.F.)!#6768=ORIENTED_EDGE('',*,*,#5034,.F.)!#6769=ORIENTED_EDGE('',*,*,#5035,.F.)!#6770=ORIENTED_EDGE('',*,*,#5029,.F.)!#6771=ORIENTED_EDGE('',*,*,#5035,.T.)!#6772=ORIENTED_EDGE('',*,*,#5036,.F.)!#6773=ORIENTED_EDGE('',*,*,#4977,.T.)!#6774=ORIENTED_EDGE('',*,*,#5027,.F.)!#6775=ORIENTED_EDGE('',*,*,#5037,.F.)!#6776=ORIENTED_EDGE('',*,*,#5032,.T.)!#6777=ORIENTED_EDGE('',*,*,#5030,.T.)!#6778=ORIENTED_EDGE('',*,*,#4978,.T.)!#6779=ORIENTED_EDGE('',*,*,#5036,.T.)!#6780=ORIENTED_EDGE('',*,*,#5034,.T.)!#6781=ORIENTED_EDGE('',*,*,#5038,.F.)!#6782=ORIENTED_EDGE('',*,*,#5039,.F.)!#6783=ORIENTED_EDGE('',*,*,#5040,.T.)!#6784=ORIENTED_EDGE('',*,*,#5041,.F.)!#6785=ORIENTED_EDGE('',*,*,#5020,.T.)!#6786=ORIENTED_EDGE('',*,*,#5017,.T.)!#6787=ORIENTED_EDGE('',*,*,#5012,.T.)!#6788=ORIENTED_EDGE('',*,*,#5013,.T.)!#6789=ORIENTED_EDGE('',*,*,#5042,.F.)!#6790=ORIENTED_EDGE('',*,*,#5043,.F.)!#6791=ORIENTED_EDGE('',*,*,#5044,.T.)!#6792=ORIENTED_EDGE('',*,*,#5045,.T.)!#6793=ORIENTED_EDGE('',*,*,#5046,.T.)!#6794=ORIENTED_EDGE('',*,*,#5047,.T.)!#6795=ORIENTED_EDGE('',*,*,#5045,.F.)!#6796=ORIENTED_EDGE('',*,*,#5048,.T.)!#6797=ORIENTED_EDGE('',*,*,#5044,.F.)!#6798=ORIENTED_EDGE('',*,*,#5049,.T.)!#6799=ORIENTED_EDGE('',*,*,#5050,.T.)!#6800=ORIENTED_EDGE('',*,*,#5051,.T.)!#6801=ORIENTED_EDGE('',*,*,#5049,.F.)!#6802=ORIENTED_EDGE('',*,*,#5048,.F.)!#6803=ORIENTED_EDGE('',*,*,#5052,.F.)!#6804=ORIENTED_EDGE('',*,*,#5053,.F.)!#6805=ORIENTED_EDGE('',*,*,#5054,.T.)!#6806=ORIENTED_EDGE('',*,*,#5046,.F.)!#6807=ORIENTED_EDGE('',*,*,#5047,.F.)!#6808=ORIENTED_EDGE('',*,*,#5054,.F.)!#6809=ORIENTED_EDGE('',*,*,#5055,.T.)!#6810=ORIENTED_EDGE('',*,*,#5056,.T.)!#6811=ORIENTED_EDGE('',*,*,#5057,.T.)!#6812=ORIENTED_EDGE('',*,*,#5058,.T.)!#6813=ORIENTED_EDGE('',*,*,#5056,.F.)!#6814=ORIENTED_EDGE('',*,*,#5059,.T.)!#6815=ORIENTED_EDGE('',*,*,#5060,.T.)!#6816=ORIENTED_EDGE('',*,*,#5061,.T.)!#6817=ORIENTED_EDGE('',*,*,#5062,.T.)!#6818=ORIENTED_EDGE('',*,*,#5057,.F.)!#6819=ORIENTED_EDGE('',*,*,#5058,.F.)!#6820=ORIENTED_EDGE('',*,*,#5062,.F.)!#6821=ORIENTED_EDGE('',*,*,#5063,.T.)!#6822=ORIENTED_EDGE('',*,*,#5064,.F.)!#6823=ORIENTED_EDGE('',*,*,#5065,.F.)!#6824=ORIENTED_EDGE('',*,*,#5055,.F.)!#6825=ORIENTED_EDGE('',*,*,#5059,.F.)!#6826=ORIENTED_EDGE('',*,*,#5066,.T.)!#6827=ORIENTED_EDGE('',*,*,#5067,.T.)!#6828=ORIENTED_EDGE('',*,*,#5068,.T.)!#6829=ORIENTED_EDGE('',*,*,#5069,.T.)!#6830=ORIENTED_EDGE('',*,*,#5067,.F.)!#6831=ORIENTED_EDGE('',*,*,#5070,.T.)!#6832=ORIENTED_EDGE('',*,*,#5069,.F.)!#6833=ORIENTED_EDGE('',*,*,#5068,.F.)!#6834=ORIENTED_EDGE('',*,*,#5071,.T.)!#6835=ORIENTED_EDGE('',*,*,#5072,.T.)!#6836=ORIENTED_EDGE('',*,*,#5073,.F.)!#6837=ORIENTED_EDGE('',*,*,#5074,.F.)!#6838=ORIENTED_EDGE('',*,*,#5075,.T.)!#6839=ORIENTED_EDGE('',*,*,#5070,.F.)!#6840=ORIENTED_EDGE('',*,*,#5066,.F.)!#6841=ORIENTED_EDGE('',*,*,#5075,.F.)!#6842=ORIENTED_EDGE('',*,*,#5076,.F.)!#6843=ORIENTED_EDGE('',*,*,#5073,.T.)!#6844=ORIENTED_EDGE('',*,*,#5077,.T.)!#6845=ORIENTED_EDGE('',*,*,#5060,.F.)!#6846=ORIENTED_EDGE('',*,*,#5063,.F.)!#6847=ORIENTED_EDGE('',*,*,#5061,.F.)!#6848=ORIENTED_EDGE('',*,*,#5077,.F.)!#6849=ORIENTED_EDGE('',*,*,#5076,.T.)!#6850=ORIENTED_EDGE('',*,*,#5074,.T.)!#6851=ORIENTED_EDGE('',*,*,#5051,.F.)!#6852=ORIENTED_EDGE('',*,*,#5050,.F.)!#6853=ORIENTED_EDGE('',*,*,#5078,.T.)!#6854=ORIENTED_EDGE('',*,*,#5065,.T.)!#6855=ORIENTED_EDGE('',*,*,#5064,.T.)!#6856=ORIENTED_EDGE('',*,*,#5078,.F.)!#6857=ORIENTED_EDGE('',*,*,#5042,.T.)!#6858=ORIENTED_EDGE('',*,*,#5079,.T.)!#6859=ORIENTED_EDGE('',*,*,#5071,.F.)!#6860=ORIENTED_EDGE('',*,*,#5072,.F.)!#6861=ORIENTED_EDGE('',*,*,#5079,.F.)!#6862=ORIENTED_EDGE('',*,*,#5043,.T.)!#6863=ORIENTED_EDGE('',*,*,#5080,.T.)!#6864=ORIENTED_EDGE('',*,*,#5081,.T.)!#6865=ORIENTED_EDGE('',*,*,#5082,.T.)!#6866=ORIENTED_EDGE('',*,*,#5083,.T.)!#6867=ORIENTED_EDGE('',*,*,#5084,.F.)!#6868=ORIENTED_EDGE('',*,*,#5085,.F.)!#6869=ORIENTED_EDGE('',*,*,#5086,.T.)!#6870=ORIENTED_EDGE('',*,*,#5087,.T.)!#6871=ORIENTED_EDGE('',*,*,#5088,.T.)!#6872=ORIENTED_EDGE('',*,*,#5089,.T.)!#6873=ORIENTED_EDGE('',*,*,#5087,.F.)!#6874=ORIENTED_EDGE('',*,*,#5090,.T.)!#6875=ORIENTED_EDGE('',*,*,#5086,.F.)!#6876=ORIENTED_EDGE('',*,*,#5091,.T.)!#6877=ORIENTED_EDGE('',*,*,#5092,.T.)!#6878=ORIENTED_EDGE('',*,*,#5093,.T.)!#6879=ORIENTED_EDGE('',*,*,#5091,.F.)!#6880=ORIENTED_EDGE('',*,*,#5090,.F.)!#6881=ORIENTED_EDGE('',*,*,#5080,.F.)!#6882=ORIENTED_EDGE('',*,*,#5081,.F.)!#6883=ORIENTED_EDGE('',*,*,#5094,.T.)!#6884=ORIENTED_EDGE('',*,*,#5088,.F.)!#6885=ORIENTED_EDGE('',*,*,#5089,.F.)!#6886=ORIENTED_EDGE('',*,*,#5094,.F.)!#6887=ORIENTED_EDGE('',*,*,#5095,.T.)!#6888=ORIENTED_EDGE('',*,*,#5096,.T.)!#6889=ORIENTED_EDGE('',*,*,#5097,.T.)!#6890=ORIENTED_EDGE('',*,*,#5098,.T.)!#6891=ORIENTED_EDGE('',*,*,#5096,.F.)!#6892=ORIENTED_EDGE('',*,*,#5099,.T.)!#6893=ORIENTED_EDGE('',*,*,#5100,.T.)!#6894=ORIENTED_EDGE('',*,*,#5101,.T.)!#6895=ORIENTED_EDGE('',*,*,#5102,.T.)!#6896=ORIENTED_EDGE('',*,*,#5097,.F.)!#6897=ORIENTED_EDGE('',*,*,#5098,.F.)!#6898=ORIENTED_EDGE('',*,*,#5102,.F.)!#6899=ORIENTED_EDGE('',*,*,#5103,.T.)!#6900=ORIENTED_EDGE('',*,*,#5104,.F.)!#6901=ORIENTED_EDGE('',*,*,#5105,.F.)!#6902=ORIENTED_EDGE('',*,*,#5095,.F.)!#6903=ORIENTED_EDGE('',*,*,#5099,.F.)!#6904=ORIENTED_EDGE('',*,*,#5106,.T.)!#6905=ORIENTED_EDGE('',*,*,#5107,.T.)!#6906=ORIENTED_EDGE('',*,*,#5108,.T.)!#6907=ORIENTED_EDGE('',*,*,#5109,.T.)!#6908=ORIENTED_EDGE('',*,*,#5107,.F.)!#6909=ORIENTED_EDGE('',*,*,#5110,.T.)!#6910=ORIENTED_EDGE('',*,*,#5109,.F.)!#6911=ORIENTED_EDGE('',*,*,#5108,.F.)!#6912=ORIENTED_EDGE('',*,*,#5111,.T.)!#6913=ORIENTED_EDGE('',*,*,#5112,.T.)!#6914=ORIENTED_EDGE('',*,*,#5113,.F.)!#6915=ORIENTED_EDGE('',*,*,#5114,.F.)!#6916=ORIENTED_EDGE('',*,*,#5115,.T.)!#6917=ORIENTED_EDGE('',*,*,#5110,.F.)!#6918=ORIENTED_EDGE('',*,*,#5106,.F.)!#6919=ORIENTED_EDGE('',*,*,#5115,.F.)!#6920=ORIENTED_EDGE('',*,*,#5116,.F.)!#6921=ORIENTED_EDGE('',*,*,#5113,.T.)!#6922=ORIENTED_EDGE('',*,*,#5117,.T.)!#6923=ORIENTED_EDGE('',*,*,#5100,.F.)!#6924=ORIENTED_EDGE('',*,*,#5103,.F.)!#6925=ORIENTED_EDGE('',*,*,#5101,.F.)!#6926=ORIENTED_EDGE('',*,*,#5117,.F.)!#6927=ORIENTED_EDGE('',*,*,#5116,.T.)!#6928=ORIENTED_EDGE('',*,*,#5114,.T.)!#6929=ORIENTED_EDGE('',*,*,#5093,.F.)!#6930=ORIENTED_EDGE('',*,*,#5092,.F.)!#6931=ORIENTED_EDGE('',*,*,#5118,.T.)!#6932=ORIENTED_EDGE('',*,*,#5105,.T.)!#6933=ORIENTED_EDGE('',*,*,#5104,.T.)!#6934=ORIENTED_EDGE('',*,*,#5118,.F.)!#6935=ORIENTED_EDGE('',*,*,#5084,.T.)!#6936=ORIENTED_EDGE('',*,*,#5119,.T.)!#6937=ORIENTED_EDGE('',*,*,#5111,.F.)!#6938=ORIENTED_EDGE('',*,*,#5112,.F.)!#6939=ORIENTED_EDGE('',*,*,#5119,.F.)!#6940=ORIENTED_EDGE('',*,*,#5085,.T.)!#6941=ORIENTED_EDGE('',*,*,#5120,.F.)!#6942=ORIENTED_EDGE('',*,*,#5121,.F.)!#6943=ORIENTED_EDGE('',*,*,#5122,.F.)!#6944=ORIENTED_EDGE('',*,*,#5123,.F.)!#6945=ORIENTED_EDGE('',*,*,#5124,.F.)!#6946=ORIENTED_EDGE('',*,*,#5125,.F.)!#6947=ORIENTED_EDGE('',*,*,#5126,.F.)!#6948=ORIENTED_EDGE('',*,*,#5127,.F.)!#6949=ORIENTED_EDGE('',*,*,#5128,.F.)!#6950=ORIENTED_EDGE('',*,*,#5129,.F.)!#6951=ORIENTED_EDGE('',*,*,#5130,.F.)!#6952=ORIENTED_EDGE('',*,*,#5131,.F.)!#6953=ORIENTED_EDGE('',*,*,#5132,.F.)!#6954=ORIENTED_EDGE('',*,*,#5133,.F.)!#6955=ORIENTED_EDGE('',*,*,#5134,.F.)!#6956=ORIENTED_EDGE('',*,*,#5135,.F.)!#6957=ORIENTED_EDGE('',*,*,#5136,.F.)!#6958=ORIENTED_EDGE('',*,*,#5137,.F.)!#6959=ORIENTED_EDGE('',*,*,#5135,.T.)!#6960=ORIENTED_EDGE('',*,*,#5132,.T.)!#6961=ORIENTED_EDGE('',*,*,#5138,.F.)!#6962=ORIENTED_EDGE('',*,*,#5139,.F.)!#6963=ORIENTED_EDGE('',*,*,#5140,.T.)!#6964=ORIENTED_EDGE('',*,*,#5134,.T.)!#6965=ORIENTED_EDGE('',*,*,#5126,.T.)!#6966=ORIENTED_EDGE('',*,*,#5141,.F.)!#6967=ORIENTED_EDGE('',*,*,#5142,.F.)!#6968=ORIENTED_EDGE('',*,*,#5138,.T.)!#6969=ORIENTED_EDGE('',*,*,#5128,.T.)!#6970=ORIENTED_EDGE('',*,*,#5120,.T.)!#6971=ORIENTED_EDGE('',*,*,#5143,.F.)!#6972=ORIENTED_EDGE('',*,*,#5144,.F.)!#6973=ORIENTED_EDGE('',*,*,#5141,.T.)!#6974=ORIENTED_EDGE('',*,*,#5124,.T.)!#6975=ORIENTED_EDGE('',*,*,#5145,.F.)!#6976=ORIENTED_EDGE('',*,*,#5146,.F.)!#6977=ORIENTED_EDGE('',*,*,#5143,.T.)!#6978=ORIENTED_EDGE('',*,*,#5122,.T.)!#6979=ORIENTED_EDGE('',*,*,#5130,.T.)!#6980=ORIENTED_EDGE('',*,*,#5147,.F.)!#6981=ORIENTED_EDGE('',*,*,#5148,.F.)!#6982=ORIENTED_EDGE('',*,*,#5145,.T.)!#6983=ORIENTED_EDGE('',*,*,#5123,.T.)!#6984=ORIENTED_EDGE('',*,*,#5136,.T.)!#6985=ORIENTED_EDGE('',*,*,#5140,.F.)!#6986=ORIENTED_EDGE('',*,*,#5149,.F.)!#6987=ORIENTED_EDGE('',*,*,#5147,.T.)!#6988=ORIENTED_EDGE('',*,*,#5129,.T.)!#6989=ORIENTED_EDGE('',*,*,#5121,.T.)!#6990=ORIENTED_EDGE('',*,*,#5127,.T.)!#6991=ORIENTED_EDGE('',*,*,#5133,.T.)!#6992=ORIENTED_EDGE('',*,*,#5137,.T.)!#6993=ORIENTED_EDGE('',*,*,#5131,.T.)!#6994=ORIENTED_EDGE('',*,*,#5125,.T.)!#6995=ORIENTED_EDGE('',*,*,#5150,.F.)!#6996=ORIENTED_EDGE('',*,*,#5151,.T.)!#6997=ORIENTED_EDGE('',*,*,#5152,.T.)!#6998=ORIENTED_EDGE('',*,*,#5153,.T.)!#6999=ORIENTED_EDGE('',*,*,#5154,.T.)!#7000=ORIENTED_EDGE('',*,*,#5155,.T.)!#7001=ORIENTED_EDGE('',*,*,#5156,.T.)!#7002=ORIENTED_EDGE('',*,*,#5157,.T.)!#7003=ORIENTED_EDGE('',*,*,#5158,.T.)!#7004=ORIENTED_EDGE('',*,*,#5159,.T.)!#7005=ORIENTED_EDGE('',*,*,#5160,.F.)!#7006=ORIENTED_EDGE('',*,*,#5161,.T.)!#7007=ORIENTED_EDGE('',*,*,#5162,.T.)!#7008=ORIENTED_EDGE('',*,*,#5163,.F.)!#7009=ORIENTED_EDGE('',*,*,#5164,.T.)!#7010=ORIENTED_EDGE('',*,*,#5165,.T.)!#7011=ORIENTED_EDGE('',*,*,#5166,.T.)!#7012=ORIENTED_EDGE('',*,*,#5167,.F.)!#7013=ORIENTED_EDGE('',*,*,#5168,.F.)!#7014=ORIENTED_EDGE('',*,*,#5169,.T.)!#7015=ORIENTED_EDGE('',*,*,#5159,.F.)!#7016=ORIENTED_EDGE('',*,*,#5169,.F.)!#7017=ORIENTED_EDGE('',*,*,#5170,.T.)!#7018=ORIENTED_EDGE('',*,*,#5171,.T.)!#7019=ORIENTED_EDGE('',*,*,#5172,.T.)!#7020=ORIENTED_EDGE('',*,*,#5173,.T.)!#7021=ORIENTED_EDGE('',*,*,#5174,.T.)!#7022=ORIENTED_EDGE('',*,*,#5171,.F.)!#7023=ORIENTED_EDGE('',*,*,#5175,.T.)!#7024=ORIENTED_EDGE('',*,*,#5176,.T.)!#7025=ORIENTED_EDGE('',*,*,#5177,.T.)!#7026=ORIENTED_EDGE('',*,*,#5176,.F.)!#7027=ORIENTED_EDGE('',*,*,#5178,.T.)!#7028=ORIENTED_EDGE('',*,*,#5179,.T.)!#7029=ORIENTED_EDGE('',*,*,#5180,.T.)!#7030=ORIENTED_EDGE('',*,*,#5173,.F.)!#7031=ORIENTED_EDGE('',*,*,#5181,.T.)!#7032=ORIENTED_EDGE('',*,*,#5182,.F.)!#7033=ORIENTED_EDGE('',*,*,#5183,.T.)!#7034=ORIENTED_EDGE('',*,*,#5179,.F.)!#7035=ORIENTED_EDGE('',*,*,#5184,.T.)!#7036=ORIENTED_EDGE('',*,*,#5185,.T.)!#7037=ORIENTED_EDGE('',*,*,#5186,.T.)!#7038=ORIENTED_EDGE('',*,*,#5185,.F.)!#7039=ORIENTED_EDGE('',*,*,#5187,.T.)!#7040=ORIENTED_EDGE('',*,*,#5182,.T.)!#7041=ORIENTED_EDGE('',*,*,#5186,.F.)!#7042=ORIENTED_EDGE('',*,*,#5188,.T.)!#7043=ORIENTED_EDGE('',*,*,#5165,.F.)!#7044=ORIENTED_EDGE('',*,*,#5189,.F.)!#7045=ORIENTED_EDGE('',*,*,#5181,.F.)!#7046=ORIENTED_EDGE('',*,*,#5190,.T.)!#7047=ORIENTED_EDGE('',*,*,#5161,.F.)!#7048=ORIENTED_EDGE('',*,*,#5191,.F.)!#7049=ORIENTED_EDGE('',*,*,#5166,.F.)!#7050=ORIENTED_EDGE('',*,*,#5188,.F.)!#7051=ORIENTED_EDGE('',*,*,#5172,.F.)!#7052=ORIENTED_EDGE('',*,*,#5192,.T.)!#7053=ORIENTED_EDGE('',*,*,#5154,.F.)!#7054=ORIENTED_EDGE('',*,*,#5193,.F.)!#7055=ORIENTED_EDGE('',*,*,#5162,.F.)!#7056=ORIENTED_EDGE('',*,*,#5190,.F.)!#7057=ORIENTED_EDGE('',*,*,#5170,.F.)!#7058=ORIENTED_EDGE('',*,*,#5180,.F.)!#7059=ORIENTED_EDGE('',*,*,#5187,.F.)!#7060=ORIENTED_EDGE('',*,*,#5184,.F.)!#7061=ORIENTED_EDGE('',*,*,#5178,.F.)!#7062=ORIENTED_EDGE('',*,*,#5175,.F.)!#7063=ORIENTED_EDGE('',*,*,#5183,.F.)!#7064=ORIENTED_EDGE('',*,*,#5189,.T.)!#7065=ORIENTED_EDGE('',*,*,#5164,.F.)!#7066=ORIENTED_EDGE('',*,*,#5194,.F.)!#7067=ORIENTED_EDGE('',*,*,#5152,.F.)!#7068=ORIENTED_EDGE('',*,*,#5195,.F.)!#7069=ORIENTED_EDGE('',*,*,#5177,.F.)!#7070=ORIENTED_EDGE('',*,*,#5195,.T.)!#7071=ORIENTED_EDGE('',*,*,#5151,.F.)!#7072=ORIENTED_EDGE('',*,*,#5196,.F.)!#7073=ORIENTED_EDGE('',*,*,#5156,.F.)!#7074=ORIENTED_EDGE('',*,*,#5197,.F.)!#7075=ORIENTED_EDGE('',*,*,#5174,.F.)!#7076=ORIENTED_EDGE('',*,*,#5197,.T.)!#7077=ORIENTED_EDGE('',*,*,#5155,.F.)!#7078=ORIENTED_EDGE('',*,*,#5192,.F.)!#7079=ORIENTED_EDGE('',*,*,#5193,.T.)!#7080=ORIENTED_EDGE('',*,*,#5198,.T.)!#7081=ORIENTED_EDGE('',*,*,#5199,.T.)!#7082=ORIENTED_EDGE('',*,*,#5200,.F.)!#7083=ORIENTED_EDGE('',*,*,#5196,.T.)!#7084=ORIENTED_EDGE('',*,*,#5201,.F.)!#7085=ORIENTED_EDGE('',*,*,#5202,.T.)!#7086=ORIENTED_EDGE('',*,*,#5203,.T.)!#7087=ORIENTED_EDGE('',*,*,#5194,.T.)!#7088=ORIENTED_EDGE('',*,*,#5204,.F.)!#7089=ORIENTED_EDGE('',*,*,#5205,.F.)!#7090=ORIENTED_EDGE('',*,*,#5191,.T.)!#7091=ORIENTED_EDGE('',*,*,#5206,.F.)!#7092=ORIENTED_EDGE('',*,*,#5207,.F.)!#7093=ORIENTED_EDGE('',*,*,#5208,.F.)!#7094=ORIENTED_EDGE('',*,*,#5209,.F.)!#7095=ORIENTED_EDGE('',*,*,#5210,.F.)!#7096=ORIENTED_EDGE('',*,*,#5211,.T.)!#7097=ORIENTED_EDGE('',*,*,#5212,.T.)!#7098=ORIENTED_EDGE('',*,*,#5213,.T.)!#7099=ORIENTED_EDGE('',*,*,#5214,.F.)!#7100=ORIENTED_EDGE('',*,*,#5215,.F.)!#7101=ORIENTED_EDGE('',*,*,#5216,.F.)!#7102=ORIENTED_EDGE('',*,*,#5217,.F.)!#7103=ORIENTED_EDGE('',*,*,#5158,.F.)!#7104=ORIENTED_EDGE('',*,*,#5218,.T.)!#7105=ORIENTED_EDGE('',*,*,#5219,.F.)!#7106=ORIENTED_EDGE('',*,*,#5218,.F.)!#7107=ORIENTED_EDGE('',*,*,#5157,.F.)!#7108=ORIENTED_EDGE('',*,*,#5203,.F.)!#7109=ORIENTED_EDGE('',*,*,#5220,.F.)!#7110=ORIENTED_EDGE('',*,*,#5221,.F.)!#7111=ORIENTED_EDGE('',*,*,#5222,.F.)!#7112=ORIENTED_EDGE('',*,*,#5198,.F.)!#7113=ORIENTED_EDGE('',*,*,#5153,.F.)!#7114=ORIENTED_EDGE('',*,*,#5217,.T.)!#7115=ORIENTED_EDGE('',*,*,#5221,.T.)!#7116=ORIENTED_EDGE('',*,*,#5216,.T.)!#7117=ORIENTED_EDGE('',*,*,#5219,.T.)!#7118=ORIENTED_EDGE('',*,*,#5223,.T.)!#7119=ORIENTED_EDGE('',*,*,#5224,.F.)!#7120=ORIENTED_EDGE('',*,*,#5225,.T.)!#7121=ORIENTED_EDGE('',*,*,#5226,.F.)!#7122=ORIENTED_EDGE('',*,*,#5227,.T.)!#7123=ORIENTED_EDGE('',*,*,#5220,.T.)!#7124=ORIENTED_EDGE('',*,*,#5202,.F.)!#7125=ORIENTED_EDGE('',*,*,#5228,.F.)!#7126=ORIENTED_EDGE('',*,*,#5223,.F.)!#7127=ORIENTED_EDGE('',*,*,#5224,.T.)!#7128=ORIENTED_EDGE('',*,*,#5228,.T.)!#7129=ORIENTED_EDGE('',*,*,#5201,.T.)!#7130=ORIENTED_EDGE('',*,*,#5150,.T.)!#7131=ORIENTED_EDGE('',*,*,#5205,.T.)!#7132=ORIENTED_EDGE('',*,*,#5229,.T.)!#7133=ORIENTED_EDGE('',*,*,#5210,.T.)!#7134=ORIENTED_EDGE('',*,*,#5230,.T.)!#7135=ORIENTED_EDGE('',*,*,#5222,.T.)!#7136=ORIENTED_EDGE('',*,*,#5227,.F.)!#7137=ORIENTED_EDGE('',*,*,#5231,.F.)!#7138=ORIENTED_EDGE('',*,*,#5199,.F.)!#7139=ORIENTED_EDGE('',*,*,#5204,.T.)!#7140=ORIENTED_EDGE('',*,*,#5163,.T.)!#7141=ORIENTED_EDGE('',*,*,#5207,.T.)!#7142=ORIENTED_EDGE('',*,*,#5232,.F.)!#7143=ORIENTED_EDGE('',*,*,#5213,.F.)!#7144=ORIENTED_EDGE('',*,*,#5233,.F.)!#7145=ORIENTED_EDGE('',*,*,#5211,.F.)!#7146=ORIENTED_EDGE('',*,*,#5229,.F.)!#7147=ORIENTED_EDGE('',*,*,#5209,.T.)!#7148=ORIENTED_EDGE('',*,*,#5234,.F.)!#7149=ORIENTED_EDGE('',*,*,#5215,.T.)!#7150=ORIENTED_EDGE('',*,*,#5235,.F.)!#7151=ORIENTED_EDGE('',*,*,#5225,.F.)!#7152=ORIENTED_EDGE('',*,*,#5230,.F.)!#7153=ORIENTED_EDGE('',*,*,#5226,.T.)!#7154=ORIENTED_EDGE('',*,*,#5235,.T.)!#7155=ORIENTED_EDGE('',*,*,#5214,.T.)!#7156=ORIENTED_EDGE('',*,*,#5232,.T.)!#7157=ORIENTED_EDGE('',*,*,#5206,.T.)!#7158=ORIENTED_EDGE('',*,*,#5160,.T.)!#7159=ORIENTED_EDGE('',*,*,#5200,.T.)!#7160=ORIENTED_EDGE('',*,*,#5231,.T.)!#7161=ORIENTED_EDGE('',*,*,#5236,.F.)!#7162=ORIENTED_EDGE('',*,*,#5237,.T.)!#7163=ORIENTED_EDGE('',*,*,#5238,.T.)!#7164=ORIENTED_EDGE('',*,*,#5239,.T.)!#7165=ORIENTED_EDGE('',*,*,#5240,.F.)!#7166=ORIENTED_EDGE('',*,*,#5241,.F.)!#7167=ORIENTED_EDGE('',*,*,#5242,.T.)!#7168=ORIENTED_EDGE('',*,*,#5237,.F.)!#7169=ORIENTED_EDGE('',*,*,#5242,.F.)!#7170=ORIENTED_EDGE('',*,*,#5243,.F.)!#7171=ORIENTED_EDGE('',*,*,#5244,.T.)!#7172=ORIENTED_EDGE('',*,*,#5238,.F.)!#7173=ORIENTED_EDGE('',*,*,#5244,.F.)!#7174=ORIENTED_EDGE('',*,*,#5245,.F.)!#7175=ORIENTED_EDGE('',*,*,#5246,.F.)!#7176=ORIENTED_EDGE('',*,*,#5239,.F.)!#7177=ORIENTED_EDGE('',*,*,#5167,.T.)!#7178=ORIENTED_EDGE('',*,*,#5168,.T.)!#7179=ORIENTED_EDGE('',*,*,#5247,.T.)!#7180=ORIENTED_EDGE('',*,*,#5245,.T.)!#7181=ORIENTED_EDGE('',*,*,#5243,.T.)!#7182=ORIENTED_EDGE('',*,*,#5241,.T.)!#7183=ORIENTED_EDGE('',*,*,#5233,.T.)!#7184=ORIENTED_EDGE('',*,*,#5248,.T.)!#7185=ORIENTED_EDGE('',*,*,#5249,.T.)!#7186=ORIENTED_EDGE('',*,*,#5250,.T.)!#7187=ORIENTED_EDGE('',*,*,#5251,.T.)!#7188=ORIENTED_EDGE('',*,*,#5252,.T.)!#7189=ORIENTED_EDGE('',*,*,#5253,.T.)!#7190=ORIENTED_EDGE('',*,*,#5254,.F.)!#7191=ORIENTED_EDGE('',*,*,#5234,.T.)!#7192=ORIENTED_EDGE('',*,*,#5255,.F.)!#7193=ORIENTED_EDGE('',*,*,#5256,.T.)!#7194=ORIENTED_EDGE('',*,*,#5257,.T.)!#7195=ORIENTED_EDGE('',*,*,#5240,.T.)!#7196=ORIENTED_EDGE('',*,*,#5258,.F.)!#7197=ORIENTED_EDGE('',*,*,#5259,.T.)!#7198=ORIENTED_EDGE('',*,*,#5260,.T.)!#7199=ORIENTED_EDGE('',*,*,#5261,.T.)!#7200=ORIENTED_EDGE('',*,*,#5262,.F.)!#7201=ORIENTED_EDGE('',*,*,#5263,.F.)!#7202=ORIENTED_EDGE('',*,*,#5264,.F.)!#7203=ORIENTED_EDGE('',*,*,#5265,.T.)!#7204=ORIENTED_EDGE('',*,*,#5266,.F.)!#7205=ORIENTED_EDGE('',*,*,#5267,.F.)!#7206=ORIENTED_EDGE('',*,*,#5268,.F.)!#7207=ORIENTED_EDGE('',*,*,#5269,.T.)!#7208=ORIENTED_EDGE('',*,*,#5270,.T.)!#7209=ORIENTED_EDGE('',*,*,#5271,.T.)!#7210=ORIENTED_EDGE('',*,*,#5272,.F.)!#7211=ORIENTED_EDGE('',*,*,#5268,.T.)!#7212=ORIENTED_EDGE('',*,*,#5273,.T.)!#7213=ORIENTED_EDGE('',*,*,#5262,.T.)!#7214=ORIENTED_EDGE('',*,*,#5274,.T.)!#7215=ORIENTED_EDGE('',*,*,#5275,.T.)!#7216=ORIENTED_EDGE('',*,*,#5276,.T.)!#7217=ORIENTED_EDGE('',*,*,#5139,.T.)!#7218=ORIENTED_EDGE('',*,*,#5142,.T.)!#7219=ORIENTED_EDGE('',*,*,#5144,.T.)!#7220=ORIENTED_EDGE('',*,*,#5146,.T.)!#7221=ORIENTED_EDGE('',*,*,#5148,.T.)!#7222=ORIENTED_EDGE('',*,*,#5149,.T.)!#7223=ORIENTED_EDGE('',*,*,#5250,.F.)!#7224=ORIENTED_EDGE('',*,*,#5264,.T.)!#7225=ORIENTED_EDGE('',*,*,#5277,.F.)!#7226=ORIENTED_EDGE('',*,*,#5278,.T.)!#7227=ORIENTED_EDGE('',*,*,#5279,.T.)!#7228=ORIENTED_EDGE('',*,*,#5280,.T.)!#7229=ORIENTED_EDGE('',*,*,#5281,.F.)!#7230=ORIENTED_EDGE('',*,*,#5282,.F.)!#7231=ORIENTED_EDGE('',*,*,#5278,.F.)!#7232=ORIENTED_EDGE('',*,*,#5282,.T.)!#7233=ORIENTED_EDGE('',*,*,#5283,.F.)!#7234=ORIENTED_EDGE('',*,*,#5251,.F.)!#7235=ORIENTED_EDGE('',*,*,#5279,.F.)!#7236=ORIENTED_EDGE('',*,*,#5266,.T.)!#7237=ORIENTED_EDGE('',*,*,#5252,.F.)!#7238=ORIENTED_EDGE('',*,*,#5283,.T.)!#7239=ORIENTED_EDGE('',*,*,#5281,.T.)!#7240=ORIENTED_EDGE('',*,*,#5284,.F.)!#7241=ORIENTED_EDGE('',*,*,#5284,.T.)!#7242=ORIENTED_EDGE('',*,*,#5280,.F.)!#7243=ORIENTED_EDGE('',*,*,#5277,.T.)!#7244=ORIENTED_EDGE('',*,*,#5263,.T.)!#7245=ORIENTED_EDGE('',*,*,#5273,.F.)!#7246=ORIENTED_EDGE('',*,*,#5285,.T.)!#7247=ORIENTED_EDGE('',*,*,#5269,.F.)!#7248=ORIENTED_EDGE('',*,*,#5267,.T.)!#7249=ORIENTED_EDGE('',*,*,#5259,.F.)!#7250=ORIENTED_EDGE('',*,*,#5271,.F.)!#7251=ORIENTED_EDGE('',*,*,#5286,.T.)!#7252=ORIENTED_EDGE('',*,*,#5275,.F.)!#7253=ORIENTED_EDGE('',*,*,#5286,.F.)!#7254=ORIENTED_EDGE('',*,*,#5270,.F.)!#7255=ORIENTED_EDGE('',*,*,#5285,.F.)!#7256=ORIENTED_EDGE('',*,*,#5276,.F.)!#7257=ORIENTED_EDGE('',*,*,#5246,.T.)!#7258=ORIENTED_EDGE('',*,*,#5287,.T.)!#7259=ORIENTED_EDGE('',*,*,#5288,.T.)!#7260=ORIENTED_EDGE('',*,*,#5289,.F.)!#7261=ORIENTED_EDGE('',*,*,#5290,.F.)!#7262=ORIENTED_EDGE('',*,*,#5291,.F.)!#7263=ORIENTED_EDGE('',*,*,#5292,.F.)!#7264=ORIENTED_EDGE('',*,*,#5293,.F.)!#7265=ORIENTED_EDGE('',*,*,#5294,.F.)!#7266=ORIENTED_EDGE('',*,*,#5295,.F.)!#7267=ORIENTED_EDGE('',*,*,#5296,.F.)!#7268=ORIENTED_EDGE('',*,*,#5297,.F.)!#7269=ORIENTED_EDGE('',*,*,#5298,.F.)!#7270=ORIENTED_EDGE('',*,*,#5288,.F.)!#7271=ORIENTED_EDGE('',*,*,#5299,.F.)!#7272=ORIENTED_EDGE('',*,*,#5300,.F.)!#7273=ORIENTED_EDGE('',*,*,#5301,.F.)!#7274=ORIENTED_EDGE('',*,*,#5302,.F.)!#7275=ORIENTED_EDGE('',*,*,#5303,.T.)!#7276=ORIENTED_EDGE('',*,*,#5304,.T.)!#7277=ORIENTED_EDGE('',*,*,#5296,.T.)!#7278=ORIENTED_EDGE('',*,*,#5305,.F.)!#7279=ORIENTED_EDGE('',*,*,#5306,.F.)!#7280=ORIENTED_EDGE('',*,*,#5301,.T.)!#7281=ORIENTED_EDGE('',*,*,#5307,.F.)!#7282=ORIENTED_EDGE('',*,*,#5308,.T.)!#7283=ORIENTED_EDGE('',*,*,#5309,.F.)!#7284=ORIENTED_EDGE('',*,*,#5310,.F.)!#7285=ORIENTED_EDGE('',*,*,#5308,.F.)!#7286=ORIENTED_EDGE('',*,*,#5311,.F.)!#7287=ORIENTED_EDGE('',*,*,#5312,.F.)!#7288=ORIENTED_EDGE('',*,*,#5261,.F.)!#7289=ORIENTED_EDGE('',*,*,#5313,.F.)!#7290=ORIENTED_EDGE('',*,*,#5314,.F.)!#7291=ORIENTED_EDGE('',*,*,#5303,.F.)!#7292=ORIENTED_EDGE('',*,*,#5315,.F.)!#7293=ORIENTED_EDGE('',*,*,#5316,.F.)!#7294=ORIENTED_EDGE('',*,*,#5317,.F.)!#7295=ORIENTED_EDGE('',*,*,#5318,.F.)!#7296=ORIENTED_EDGE('',*,*,#5319,.F.)!#7297=ORIENTED_EDGE('',*,*,#5312,.T.)!#7298=ORIENTED_EDGE('',*,*,#5320,.T.)!#7299=ORIENTED_EDGE('',*,*,#5299,.T.)!#7300=ORIENTED_EDGE('',*,*,#5287,.F.)!#7301=ORIENTED_EDGE('',*,*,#5247,.F.)!#7302=ORIENTED_EDGE('',*,*,#5260,.F.)!#7303=ORIENTED_EDGE('',*,*,#5274,.F.)!#7304=ORIENTED_EDGE('',*,*,#5311,.T.)!#7305=ORIENTED_EDGE('',*,*,#5307,.T.)!#7306=ORIENTED_EDGE('',*,*,#5300,.T.)!#7307=ORIENTED_EDGE('',*,*,#5320,.F.)!#7308=ORIENTED_EDGE('',*,*,#5314,.T.)!#7309=ORIENTED_EDGE('',*,*,#5321,.T.)!#7310=ORIENTED_EDGE('',*,*,#5297,.T.)!#7311=ORIENTED_EDGE('',*,*,#5304,.F.)!#7312=ORIENTED_EDGE('',*,*,#5313,.T.)!#7313=ORIENTED_EDGE('',*,*,#5272,.T.)!#7314=ORIENTED_EDGE('',*,*,#5258,.T.)!#7315=ORIENTED_EDGE('',*,*,#5236,.T.)!#7316=ORIENTED_EDGE('',*,*,#5289,.T.)!#7317=ORIENTED_EDGE('',*,*,#5298,.T.)!#7318=ORIENTED_EDGE('',*,*,#5321,.F.)!#7319=ORIENTED_EDGE('',*,*,#5322,.F.)!#7320=ORIENTED_EDGE('',*,*,#5323,.F.)!#7321=ORIENTED_EDGE('',*,*,#5324,.F.)!#7322=ORIENTED_EDGE('',*,*,#5325,.F.)!#7323=ORIENTED_EDGE('',*,*,#5326,.F.)!#7324=ORIENTED_EDGE('',*,*,#5327,.F.)!#7325=ORIENTED_EDGE('',*,*,#5328,.F.)!#7326=ORIENTED_EDGE('',*,*,#5329,.F.)!#7327=ORIENTED_EDGE('',*,*,#5330,.F.)!#7328=ORIENTED_EDGE('',*,*,#5253,.F.)!#7329=ORIENTED_EDGE('',*,*,#5265,.F.)!#7330=ORIENTED_EDGE('',*,*,#5249,.F.)!#7331=ORIENTED_EDGE('',*,*,#5331,.F.)!#7332=ORIENTED_EDGE('',*,*,#5332,.F.)!#7333=ORIENTED_EDGE('',*,*,#5333,.F.)!#7334=ORIENTED_EDGE('',*,*,#5334,.F.)!#7335=ORIENTED_EDGE('',*,*,#5335,.T.)!#7336=ORIENTED_EDGE('',*,*,#5336,.T.)!#7337=ORIENTED_EDGE('',*,*,#5328,.T.)!#7338=ORIENTED_EDGE('',*,*,#5337,.F.)!#7339=ORIENTED_EDGE('',*,*,#5333,.T.)!#7340=ORIENTED_EDGE('',*,*,#5338,.F.)!#7341=ORIENTED_EDGE('',*,*,#5339,.T.)!#7342=ORIENTED_EDGE('',*,*,#5340,.F.)!#7343=ORIENTED_EDGE('',*,*,#5339,.F.)!#7344=ORIENTED_EDGE('',*,*,#5341,.F.)!#7345=ORIENTED_EDGE('',*,*,#5342,.F.)!#7346=ORIENTED_EDGE('',*,*,#5256,.F.)!#7347=ORIENTED_EDGE('',*,*,#5343,.F.)!#7348=ORIENTED_EDGE('',*,*,#5344,.F.)!#7349=ORIENTED_EDGE('',*,*,#5335,.F.)!#7350=ORIENTED_EDGE('',*,*,#5345,.F.)!#7351=ORIENTED_EDGE('',*,*,#5346,.F.)!#7352=ORIENTED_EDGE('',*,*,#5347,.F.)!#7353=ORIENTED_EDGE('',*,*,#5348,.F.)!#7354=ORIENTED_EDGE('',*,*,#5349,.F.)!#7355=ORIENTED_EDGE('',*,*,#5329,.T.)!#7356=ORIENTED_EDGE('',*,*,#5336,.F.)!#7357=ORIENTED_EDGE('',*,*,#5344,.T.)!#7358=ORIENTED_EDGE('',*,*,#5350,.T.)!#7359=ORIENTED_EDGE('',*,*,#5332,.T.)!#7360=ORIENTED_EDGE('',*,*,#5351,.F.)!#7361=ORIENTED_EDGE('',*,*,#5341,.T.)!#7362=ORIENTED_EDGE('',*,*,#5338,.T.)!#7363=ORIENTED_EDGE('',*,*,#5330,.T.)!#7364=ORIENTED_EDGE('',*,*,#5350,.F.)!#7365=ORIENTED_EDGE('',*,*,#5343,.T.)!#7366=ORIENTED_EDGE('',*,*,#5255,.T.)!#7367=ORIENTED_EDGE('',*,*,#5208,.T.)!#7368=ORIENTED_EDGE('',*,*,#5254,.T.)!#7369=ORIENTED_EDGE('',*,*,#5331,.T.)!#7370=ORIENTED_EDGE('',*,*,#5248,.F.)!#7371=ORIENTED_EDGE('',*,*,#5212,.F.)!#7372=ORIENTED_EDGE('',*,*,#5257,.F.)!#7373=ORIENTED_EDGE('',*,*,#5342,.T.)!#7374=ORIENTED_EDGE('',*,*,#5351,.T.)!#7375=ORIENTED_EDGE('',*,*,#5291,.T.)!#7376=ORIENTED_EDGE('',*,*,#5352,.T.)!#7377=ORIENTED_EDGE('',*,*,#5349,.T.)!#7378=ORIENTED_EDGE('',*,*,#5353,.T.)!#7379=ORIENTED_EDGE('',*,*,#5319,.T.)!#7380=ORIENTED_EDGE('',*,*,#5354,.T.)!#7381=ORIENTED_EDGE('',*,*,#5323,.T.)!#7382=ORIENTED_EDGE('',*,*,#5355,.T.)!#7383=ORIENTED_EDGE('',*,*,#5315,.T.)!#7384=ORIENTED_EDGE('',*,*,#5302,.T.)!#7385=ORIENTED_EDGE('',*,*,#5356,.T.)!#7386=ORIENTED_EDGE('',*,*,#5357,.T.)!#7387=ORIENTED_EDGE('',*,*,#5358,.T.)!#7388=ORIENTED_EDGE('',*,*,#5359,.T.)!#7389=ORIENTED_EDGE('',*,*,#5356,.F.)!#7390=ORIENTED_EDGE('',*,*,#5305,.T.)!#7391=ORIENTED_EDGE('',*,*,#5295,.T.)!#7392=ORIENTED_EDGE('',*,*,#5360,.F.)!#7393=ORIENTED_EDGE('',*,*,#5345,.T.)!#7394=ORIENTED_EDGE('',*,*,#5334,.T.)!#7395=ORIENTED_EDGE('',*,*,#5327,.T.)!#7396=ORIENTED_EDGE('',*,*,#5361,.F.)!#7397=ORIENTED_EDGE('',*,*,#5292,.T.)!#7398=ORIENTED_EDGE('',*,*,#5353,.F.)!#7399=ORIENTED_EDGE('',*,*,#5348,.T.)!#7400=ORIENTED_EDGE('',*,*,#5362,.T.)!#7401=ORIENTED_EDGE('',*,*,#5318,.T.)!#7402=ORIENTED_EDGE('',*,*,#5363,.T.)!#7403=ORIENTED_EDGE('',*,*,#5324,.T.)!#7404=ORIENTED_EDGE('',*,*,#5354,.F.)!#7405=ORIENTED_EDGE('',*,*,#5293,.T.)!#7406=ORIENTED_EDGE('',*,*,#5362,.F.)!#7407=ORIENTED_EDGE('',*,*,#5347,.T.)!#7408=ORIENTED_EDGE('',*,*,#5364,.T.)!#7409=ORIENTED_EDGE('',*,*,#5317,.T.)!#7410=ORIENTED_EDGE('',*,*,#5365,.T.)!#7411=ORIENTED_EDGE('',*,*,#5325,.T.)!#7412=ORIENTED_EDGE('',*,*,#5363,.F.)!#7413=ORIENTED_EDGE('',*,*,#5294,.T.)!#7414=ORIENTED_EDGE('',*,*,#5364,.F.)!#7415=ORIENTED_EDGE('',*,*,#5346,.T.)!#7416=ORIENTED_EDGE('',*,*,#5360,.T.)!#7417=ORIENTED_EDGE('',*,*,#5316,.T.)!#7418=ORIENTED_EDGE('',*,*,#5361,.T.)!#7419=ORIENTED_EDGE('',*,*,#5326,.T.)!#7420=ORIENTED_EDGE('',*,*,#5365,.F.)!#7421=ORIENTED_EDGE('',*,*,#5366,.T.)!#7422=ORIENTED_EDGE('',*,*,#5367,.T.)!#7423=ORIENTED_EDGE('',*,*,#5368,.F.)!#7424=ORIENTED_EDGE('',*,*,#5369,.F.)!#7425=ORIENTED_EDGE('',*,*,#5370,.F.)!#7426=ORIENTED_EDGE('',*,*,#5367,.F.)!#7427=ORIENTED_EDGE('',*,*,#5371,.T.)!#7428=ORIENTED_EDGE('',*,*,#5372,.T.)!#7429=ORIENTED_EDGE('',*,*,#5366,.F.)!#7430=ORIENTED_EDGE('',*,*,#5372,.F.)!#7431=ORIENTED_EDGE('',*,*,#5373,.T.)!#7432=ORIENTED_EDGE('',*,*,#5374,.T.)!#7433=ORIENTED_EDGE('',*,*,#5373,.F.)!#7434=ORIENTED_EDGE('',*,*,#5371,.F.)!#7435=ORIENTED_EDGE('',*,*,#5375,.T.)!#7436=ORIENTED_EDGE('',*,*,#5376,.T.)!#7437=ORIENTED_EDGE('',*,*,#5377,.T.)!#7438=ORIENTED_EDGE('',*,*,#5378,.F.)!#7439=ORIENTED_EDGE('',*,*,#5379,.F.)!#7440=ORIENTED_EDGE('',*,*,#5380,.T.)!#7441=ORIENTED_EDGE('',*,*,#5381,.T.)!#7442=ORIENTED_EDGE('',*,*,#5382,.T.)!#7443=ORIENTED_EDGE('',*,*,#5383,.T.)!#7444=ORIENTED_EDGE('',*,*,#5380,.F.)!#7445=ORIENTED_EDGE('',*,*,#5384,.T.)!#7446=ORIENTED_EDGE('',*,*,#5385,.T.)!#7447=ORIENTED_EDGE('',*,*,#5382,.F.)!#7448=ORIENTED_EDGE('',*,*,#5381,.F.)!#7449=ORIENTED_EDGE('',*,*,#5383,.F.)!#7450=ORIENTED_EDGE('',*,*,#5385,.F.)!#7451=ORIENTED_EDGE('',*,*,#5386,.T.)!#7452=ORIENTED_EDGE('',*,*,#5387,.T.)!#7453=ORIENTED_EDGE('',*,*,#5384,.F.)!#7454=ORIENTED_EDGE('',*,*,#5387,.F.)!#7455=ORIENTED_EDGE('',*,*,#5388,.T.)!#7456=ORIENTED_EDGE('',*,*,#5389,.T.)!#7457=ORIENTED_EDGE('',*,*,#5390,.F.)!#7458=ORIENTED_EDGE('',*,*,#5375,.F.)!#7459=ORIENTED_EDGE('',*,*,#5391,.F.)!#7460=ORIENTED_EDGE('',*,*,#5392,.T.)!#7461=ORIENTED_EDGE('',*,*,#5388,.F.)!#7462=ORIENTED_EDGE('',*,*,#5386,.F.)!#7463=ORIENTED_EDGE('',*,*,#5393,.F.)!#7464=ORIENTED_EDGE('',*,*,#5389,.F.)!#7465=ORIENTED_EDGE('',*,*,#5392,.F.)!#7466=ORIENTED_EDGE('',*,*,#5391,.T.)!#7467=ORIENTED_EDGE('',*,*,#5377,.F.)!#7468=ORIENTED_EDGE('',*,*,#5394,.T.)!#7469=ORIENTED_EDGE('',*,*,#5395,.T.)!#7470=ORIENTED_EDGE('',*,*,#5396,.T.)!#7471=ORIENTED_EDGE('',*,*,#5397,.T.)!#7472=ORIENTED_EDGE('',*,*,#5398,.T.)!#7473=ORIENTED_EDGE('',*,*,#5394,.F.)!#7474=ORIENTED_EDGE('',*,*,#5376,.F.)!#7475=ORIENTED_EDGE('',*,*,#5390,.T.)!#7476=ORIENTED_EDGE('',*,*,#5393,.T.)!#7477=ORIENTED_EDGE('',*,*,#5399,.T.)!#7478=ORIENTED_EDGE('',*,*,#5400,.T.)!#7479=ORIENTED_EDGE('',*,*,#5401,.T.)!#7480=ORIENTED_EDGE('',*,*,#5402,.T.)!#7481=ORIENTED_EDGE('',*,*,#5396,.F.)!#7482=ORIENTED_EDGE('',*,*,#5403,.T.)!#7483=ORIENTED_EDGE('',*,*,#5369,.T.)!#7484=ORIENTED_EDGE('',*,*,#5368,.T.)!#7485=ORIENTED_EDGE('',*,*,#5370,.T.)!#7486=ORIENTED_EDGE('',*,*,#5403,.F.)!#7487=ORIENTED_EDGE('',*,*,#5395,.F.)!#7488=ORIENTED_EDGE('',*,*,#5398,.F.)!#7489=ORIENTED_EDGE('',*,*,#5404,.T.)!#7490=ORIENTED_EDGE('',*,*,#5405,.T.)!#7491=ORIENTED_EDGE('',*,*,#5052,.T.)!#7492=ORIENTED_EDGE('',*,*,#5053,.T.)!#7493=ORIENTED_EDGE('',*,*,#5404,.F.)!#7494=ORIENTED_EDGE('',*,*,#5405,.F.)!#7495=ORIENTED_EDGE('',*,*,#5406,.T.)!#7496=ORIENTED_EDGE('',*,*,#5407,.T.)!#7497=ORIENTED_EDGE('',*,*,#5408,.T.)!#7498=ORIENTED_EDGE('',*,*,#5406,.F.)!#7499=ORIENTED_EDGE('',*,*,#5401,.F.)!#7500=ORIENTED_EDGE('',*,*,#5409,.F.)!#7501=ORIENTED_EDGE('',*,*,#5408,.F.)!#7502=ORIENTED_EDGE('',*,*,#5407,.F.)!#7503=ORIENTED_EDGE('',*,*,#5410,.T.)!#7504=ORIENTED_EDGE('',*,*,#5399,.F.)!#7505=ORIENTED_EDGE('',*,*,#5397,.F.)!#7506=ORIENTED_EDGE('',*,*,#5402,.F.)!#7507=ORIENTED_EDGE('',*,*,#5409,.T.)!#7508=ORIENTED_EDGE('',*,*,#5400,.F.)!#7509=ORIENTED_EDGE('',*,*,#5410,.F.)!#7510=ORIENTED_EDGE('',*,*,#5082,.F.)!#7511=ORIENTED_EDGE('',*,*,#5083,.F.)!#7512=ORIENTED_EDGE('',*,*,#5411,.T.)!#7513=ORIENTED_EDGE('',*,*,#5412,.T.)!#7514=ORIENTED_EDGE('',*,*,#5413,.T.)!#7515=ORIENTED_EDGE('',*,*,#5414,.T.)!#7516=ORIENTED_EDGE('',*,*,#5411,.F.)!#7517=ORIENTED_EDGE('',*,*,#5415,.T.)!#7518=ORIENTED_EDGE('',*,*,#5416,.T.)!#7519=ORIENTED_EDGE('',*,*,#5413,.F.)!#7520=ORIENTED_EDGE('',*,*,#5412,.F.)!#7521=ORIENTED_EDGE('',*,*,#5414,.F.)!#7522=ORIENTED_EDGE('',*,*,#5416,.F.)!#7523=ORIENTED_EDGE('',*,*,#5417,.T.)!#7524=ORIENTED_EDGE('',*,*,#5418,.T.)!#7525=ORIENTED_EDGE('',*,*,#5419,.T.)!#7526=ORIENTED_EDGE('',*,*,#5415,.F.)!#7527=ORIENTED_EDGE('',*,*,#5417,.F.)!#7528=ORIENTED_EDGE('',*,*,#5420,.T.)!#7529=ORIENTED_EDGE('',*,*,#5421,.T.)!#7530=ORIENTED_EDGE('',*,*,#5418,.F.)!#7531=ORIENTED_EDGE('',*,*,#5419,.F.)!#7532=ORIENTED_EDGE('',*,*,#5421,.F.)!#7533=ORIENTED_EDGE('',*,*,#5422,.T.)!#7534=ORIENTED_EDGE('',*,*,#5423,.T.)!#7535=ORIENTED_EDGE('',*,*,#5424,.T.)!#7536=ORIENTED_EDGE('',*,*,#5425,.T.)!#7537=ORIENTED_EDGE('',*,*,#5426,.T.)!#7538=ORIENTED_EDGE('',*,*,#5422,.F.)!#7539=ORIENTED_EDGE('',*,*,#5420,.F.)!#7540=ORIENTED_EDGE('',*,*,#5423,.F.)!#7541=ORIENTED_EDGE('',*,*,#5426,.F.)!#7542=ORIENTED_EDGE('',*,*,#5427,.T.)!#7543=ORIENTED_EDGE('',*,*,#5424,.F.)!#7544=ORIENTED_EDGE('',*,*,#5427,.F.)!#7545=ORIENTED_EDGE('',*,*,#5425,.F.)!#7546=ORIENTED_EDGE('',*,*,#5428,.T.)!#7547=ORIENTED_EDGE('',*,*,#5429,.T.)!#7548=ORIENTED_EDGE('',*,*,#5430,.T.)!#7549=ORIENTED_EDGE('',*,*,#5428,.F.)!#7550=ORIENTED_EDGE('',*,*,#5431,.T.)!#7551=ORIENTED_EDGE('',*,*,#5432,.T.)!#7552=ORIENTED_EDGE('',*,*,#5430,.F.)!#7553=ORIENTED_EDGE('',*,*,#5429,.F.)!#7554=ORIENTED_EDGE('',*,*,#5431,.F.)!#7555=ORIENTED_EDGE('',*,*,#5432,.F.)!#7556=ORIENTED_EDGE('',*,*,#5433,.T.)!#7557=ORIENTED_EDGE('',*,*,#5434,.T.)!#7558=ORIENTED_EDGE('',*,*,#5435,.T.)!#7559=ORIENTED_EDGE('',*,*,#5436,.T.)!#7560=ORIENTED_EDGE('',*,*,#5437,.T.)!#7561=ORIENTED_EDGE('',*,*,#5438,.T.)!#7562=ORIENTED_EDGE('',*,*,#5439,.T.)!#7563=ORIENTED_EDGE('',*,*,#5440,.T.)!#7564=ORIENTED_EDGE('',*,*,#5441,.T.)!#7565=ORIENTED_EDGE('',*,*,#5442,.T.)!#7566=ORIENTED_EDGE('',*,*,#5433,.F.)!#7567=ORIENTED_EDGE('',*,*,#5443,.T.)!#7568=ORIENTED_EDGE('',*,*,#5444,.T.)!#7569=ORIENTED_EDGE('',*,*,#5445,.T.)!#7570=ORIENTED_EDGE('',*,*,#5309,.T.)!#7571=ORIENTED_EDGE('',*,*,#5310,.T.)!#7572=ORIENTED_EDGE('',*,*,#5355,.F.)!#7573=ORIENTED_EDGE('',*,*,#5322,.T.)!#7574=ORIENTED_EDGE('',*,*,#5337,.T.)!#7575=ORIENTED_EDGE('',*,*,#5340,.T.)!#7576=ORIENTED_EDGE('',*,*,#5352,.F.)!#7577=ORIENTED_EDGE('',*,*,#5290,.T.)!#7578=ORIENTED_EDGE('',*,*,#5306,.T.)!#7579=ORIENTED_EDGE('',*,*,#5445,.F.)!#7580=ORIENTED_EDGE('',*,*,#5446,.T.)!#7581=ORIENTED_EDGE('',*,*,#5374,.F.)!#7582=ORIENTED_EDGE('',*,*,#5447,.T.)!#7583=ORIENTED_EDGE('',*,*,#5448,.F.)!#7584=ORIENTED_EDGE('',*,*,#5449,.F.)!#7585=ORIENTED_EDGE('',*,*,#5450,.F.)!#7586=ORIENTED_EDGE('',*,*,#5447,.F.)!#7587=ORIENTED_EDGE('',*,*,#5443,.F.)!#7588=ORIENTED_EDGE('',*,*,#5446,.F.)!#7589=ORIENTED_EDGE('',*,*,#5444,.F.)!#7590=ORIENTED_EDGE('',*,*,#5451,.T.)!#7591=ORIENTED_EDGE('',*,*,#5448,.T.)!#7592=ORIENTED_EDGE('',*,*,#5450,.T.)!#7593=ORIENTED_EDGE('',*,*,#5449,.T.)!#7594=ORIENTED_EDGE('',*,*,#5451,.F.)!#7595=ORIENTED_EDGE('',*,*,#5452,.T.)!#7596=ORIENTED_EDGE('',*,*,#5453,.T.)!#7597=ORIENTED_EDGE('',*,*,#5454,.T.)!#7598=ORIENTED_EDGE('',*,*,#5379,.T.)!#7599=ORIENTED_EDGE('',*,*,#5378,.T.)!#7600=ORIENTED_EDGE('',*,*,#5454,.F.)!#7601=ORIENTED_EDGE('',*,*,#5455,.T.)!#7602=ORIENTED_EDGE('',*,*,#5456,.T.)!#7603=ORIENTED_EDGE('',*,*,#5440,.F.)!#7604=ORIENTED_EDGE('',*,*,#5457,.T.)!#7605=ORIENTED_EDGE('',*,*,#5458,.T.)!#7606=ORIENTED_EDGE('',*,*,#5459,.T.)!#7607=ORIENTED_EDGE('',*,*,#5436,.F.)!#7608=ORIENTED_EDGE('',*,*,#5459,.F.)!#7609=ORIENTED_EDGE('',*,*,#5460,.F.)!#7610=ORIENTED_EDGE('',*,*,#5461,.F.)!#7611=ORIENTED_EDGE('',*,*,#5462,.F.)!#7612=ORIENTED_EDGE('',*,*,#5463,.F.)!#7613=ORIENTED_EDGE('',*,*,#5464,.F.)!#7614=ORIENTED_EDGE('',*,*,#5465,.F.)!#7615=ORIENTED_EDGE('',*,*,#5466,.F.)!#7616=ORIENTED_EDGE('',*,*,#5467,.F.)!#7617=ORIENTED_EDGE('',*,*,#5437,.F.)!#7618=ORIENTED_EDGE('',*,*,#5465,.T.)!#7619=ORIENTED_EDGE('',*,*,#5468,.F.)!#7620=ORIENTED_EDGE('',*,*,#5469,.F.)!#7621=ORIENTED_EDGE('',*,*,#5470,.F.)!#7622=ORIENTED_EDGE('',*,*,#5471,.T.)!#7623=ORIENTED_EDGE('',*,*,#5472,.T.)!#7624=ORIENTED_EDGE('',*,*,#5461,.T.)!#7625=ORIENTED_EDGE('',*,*,#5473,.F.)!#7626=ORIENTED_EDGE('',*,*,#5474,.T.)!#7627=ORIENTED_EDGE('',*,*,#5475,.T.)!#7628=ORIENTED_EDGE('',*,*,#5476,.T.)!#7629=ORIENTED_EDGE('',*,*,#5477,.T.)!#7630=ORIENTED_EDGE('',*,*,#5466,.T.)!#7631=ORIENTED_EDGE('',*,*,#5472,.F.)!#7632=ORIENTED_EDGE('',*,*,#5478,.T.)!#7633=ORIENTED_EDGE('',*,*,#5479,.T.)!#7634=ORIENTED_EDGE('',*,*,#5438,.F.)!#7635=ORIENTED_EDGE('',*,*,#5467,.T.)!#7636=ORIENTED_EDGE('',*,*,#5479,.F.)!#7637=ORIENTED_EDGE('',*,*,#5480,.T.)!#7638=ORIENTED_EDGE('',*,*,#5457,.F.)!#7639=ORIENTED_EDGE('',*,*,#5439,.F.)!#7640=ORIENTED_EDGE('',*,*,#5480,.F.)!#7641=ORIENTED_EDGE('',*,*,#5478,.F.)!#7642=ORIENTED_EDGE('',*,*,#5471,.F.)!#7643=ORIENTED_EDGE('',*,*,#5481,.F.)!#7644=ORIENTED_EDGE('',*,*,#5474,.F.)!#7645=ORIENTED_EDGE('',*,*,#5482,.F.)!#7646=ORIENTED_EDGE('',*,*,#5458,.F.)!#7647=ORIENTED_EDGE('',*,*,#5482,.T.)!#7648=ORIENTED_EDGE('',*,*,#5473,.T.)!#7649=ORIENTED_EDGE('',*,*,#5460,.T.)!#7650=ORIENTED_EDGE('',*,*,#5483,.T.)!#7651=ORIENTED_EDGE('',*,*,#5484,.T.)!#7652=ORIENTED_EDGE('',*,*,#5485,.T.)!#7653=ORIENTED_EDGE('',*,*,#5486,.T.)!#7654=ORIENTED_EDGE('',*,*,#5487,.T.)!#7655=ORIENTED_EDGE('',*,*,#5488,.T.)!#7656=ORIENTED_EDGE('',*,*,#5489,.F.)!#7657=ORIENTED_EDGE('',*,*,#5453,.F.)!#7658=ORIENTED_EDGE('',*,*,#5490,.T.)!#7659=ORIENTED_EDGE('',*,*,#5489,.T.)!#7660=ORIENTED_EDGE('',*,*,#5491,.T.)!#7661=ORIENTED_EDGE('',*,*,#5455,.F.)!#7662=ORIENTED_EDGE('',*,*,#5456,.F.)!#7663=ORIENTED_EDGE('',*,*,#5491,.F.)!#7664=ORIENTED_EDGE('',*,*,#5488,.F.)!#7665=ORIENTED_EDGE('',*,*,#5492,.F.)!#7666=ORIENTED_EDGE('',*,*,#5493,.F.)!#7667=ORIENTED_EDGE('',*,*,#5494,.F.)!#7668=ORIENTED_EDGE('',*,*,#5495,.F.)!#7669=ORIENTED_EDGE('',*,*,#5441,.F.)!#7670=ORIENTED_EDGE('',*,*,#5496,.T.)!#7671=ORIENTED_EDGE('',*,*,#5497,.T.)!#7672=ORIENTED_EDGE('',*,*,#5494,.T.)!#7673=ORIENTED_EDGE('',*,*,#5498,.F.)!#7674=ORIENTED_EDGE('',*,*,#5499,.T.)!#7675=ORIENTED_EDGE('',*,*,#5498,.T.)!#7676=ORIENTED_EDGE('',*,*,#5493,.T.)!#7677=ORIENTED_EDGE('',*,*,#5500,.F.)!#7678=ORIENTED_EDGE('',*,*,#5501,.F.)!#7679=ORIENTED_EDGE('',*,*,#5502,.F.)!#7680=ORIENTED_EDGE('',*,*,#5503,.F.)!#7681=ORIENTED_EDGE('',*,*,#5442,.F.)!#7682=ORIENTED_EDGE('',*,*,#5495,.T.)!#7683=ORIENTED_EDGE('',*,*,#5497,.F.)!#7684=ORIENTED_EDGE('',*,*,#5504,.T.)!#7685=ORIENTED_EDGE('',*,*,#5434,.F.)!#7686=ORIENTED_EDGE('',*,*,#5452,.F.)!#7687=ORIENTED_EDGE('',*,*,#5435,.F.)!#7688=ORIENTED_EDGE('',*,*,#5504,.F.)!#7689=ORIENTED_EDGE('',*,*,#5496,.F.)!#7690=ORIENTED_EDGE('',*,*,#5499,.F.)!#7691=ORIENTED_EDGE('',*,*,#5505,.F.)!#7692=ORIENTED_EDGE('',*,*,#5483,.F.)!#7693=ORIENTED_EDGE('',*,*,#5490,.F.)!#7694=ORIENTED_EDGE('',*,*,#5506,.T.)!#7695=ORIENTED_EDGE('',*,*,#5500,.T.)!#7696=ORIENTED_EDGE('',*,*,#5492,.T.)!#7697=ORIENTED_EDGE('',*,*,#5487,.F.)!#7698=ORIENTED_EDGE('',*,*,#5507,.F.)!#7699=ORIENTED_EDGE('',*,*,#5508,.F.)!#7700=ORIENTED_EDGE('',*,*,#5509,.F.)!#7701=ORIENTED_EDGE('',*,*,#5510,.F.)!#7702=ORIENTED_EDGE('',*,*,#5511,.F.)!#7703=ORIENTED_EDGE('',*,*,#5512,.F.)!#7704=ORIENTED_EDGE('',*,*,#5507,.T.)!#7705=ORIENTED_EDGE('',*,*,#5513,.F.)!#7706=ORIENTED_EDGE('',*,*,#5514,.T.)!#7707=ORIENTED_EDGE('',*,*,#5515,.F.)!#7708=ORIENTED_EDGE('',*,*,#5516,.T.)!#7709=ORIENTED_EDGE('',*,*,#5517,.T.)!#7710=ORIENTED_EDGE('',*,*,#5518,.F.)!#7711=ORIENTED_EDGE('',*,*,#5509,.T.)!#7712=ORIENTED_EDGE('',*,*,#5519,.F.)!#7713=ORIENTED_EDGE('',*,*,#5520,.T.)!#7714=ORIENTED_EDGE('',*,*,#5521,.T.)!#7715=ORIENTED_EDGE('',*,*,#5522,.F.)!#7716=ORIENTED_EDGE('',*,*,#5523,.T.)!#7717=ORIENTED_EDGE('',*,*,#5524,.T.)!#7718=ORIENTED_EDGE('',*,*,#5514,.F.)!#7719=ORIENTED_EDGE('',*,*,#5525,.T.)!#7720=ORIENTED_EDGE('',*,*,#5523,.F.)!#7721=ORIENTED_EDGE('',*,*,#5526,.F.)!#7722=ORIENTED_EDGE('',*,*,#5527,.F.)!#7723=ORIENTED_EDGE('',*,*,#5515,.T.)!#7724=ORIENTED_EDGE('',*,*,#5524,.F.)!#7725=ORIENTED_EDGE('',*,*,#5525,.F.)!#7726=ORIENTED_EDGE('',*,*,#5528,.F.)!#7727=ORIENTED_EDGE('',*,*,#5529,.F.)!#7728=ORIENTED_EDGE('',*,*,#5530,.T.)!#7729=ORIENTED_EDGE('',*,*,#5516,.F.)!#7730=ORIENTED_EDGE('',*,*,#5528,.T.)!#7731=ORIENTED_EDGE('',*,*,#5527,.T.)!#7732=ORIENTED_EDGE('',*,*,#5518,.T.)!#7733=ORIENTED_EDGE('',*,*,#5531,.T.)!#7734=ORIENTED_EDGE('',*,*,#5503,.T.)!#7735=ORIENTED_EDGE('',*,*,#5513,.T.)!#7736=ORIENTED_EDGE('',*,*,#5510,.T.)!#7737=ORIENTED_EDGE('',*,*,#5532,.T.)!#7738=ORIENTED_EDGE('',*,*,#5533,.T.)!#7739=ORIENTED_EDGE('',*,*,#5534,.T.)!#7740=ORIENTED_EDGE('',*,*,#5535,.T.)!#7741=ORIENTED_EDGE('',*,*,#5536,.T.)!#7742=ORIENTED_EDGE('',*,*,#5537,.T.)!#7743=ORIENTED_EDGE('',*,*,#5519,.T.)!#7744=ORIENTED_EDGE('',*,*,#5508,.T.)!#7745=ORIENTED_EDGE('',*,*,#5512,.T.)!#7746=ORIENTED_EDGE('',*,*,#5484,.F.)!#7747=ORIENTED_EDGE('',*,*,#5538,.T.)!#7748=ORIENTED_EDGE('',*,*,#5505,.T.)!#7749=ORIENTED_EDGE('',*,*,#5531,.F.)!#7750=ORIENTED_EDGE('',*,*,#5517,.F.)!#7751=ORIENTED_EDGE('',*,*,#5530,.F.)!#7752=ORIENTED_EDGE('',*,*,#5463,.T.)!#7753=ORIENTED_EDGE('',*,*,#5521,.F.)!#7754=ORIENTED_EDGE('',*,*,#5520,.F.)!#7755=ORIENTED_EDGE('',*,*,#5538,.F.)!#7756=ORIENTED_EDGE('',*,*,#5539,.T.)!#7757=ORIENTED_EDGE('',*,*,#5485,.F.)!#7758=ORIENTED_EDGE('',*,*,#5511,.T.)!#7759=ORIENTED_EDGE('',*,*,#5502,.T.)!#7760=ORIENTED_EDGE('',*,*,#5540,.T.)!#7761=ORIENTED_EDGE('',*,*,#5475,.F.)!#7762=ORIENTED_EDGE('',*,*,#5481,.T.)!#7763=ORIENTED_EDGE('',*,*,#5470,.T.)!#7764=ORIENTED_EDGE('',*,*,#5541,.T.)!#7765=ORIENTED_EDGE('',*,*,#5468,.T.)!#7766=ORIENTED_EDGE('',*,*,#5464,.T.)!#7767=ORIENTED_EDGE('',*,*,#5529,.T.)!#7768=ORIENTED_EDGE('',*,*,#5526,.T.)!#7769=ORIENTED_EDGE('',*,*,#5522,.T.)!#7770=ORIENTED_EDGE('',*,*,#5462,.T.)!#7771=ORIENTED_EDGE('',*,*,#5477,.F.)!#7772=ORIENTED_EDGE('',*,*,#5501,.T.)!#7773=ORIENTED_EDGE('',*,*,#5542,.T.)!#7774=ORIENTED_EDGE('',*,*,#5543,.T.)!#7775=ORIENTED_EDGE('',*,*,#5544,.T.)!#7776=ORIENTED_EDGE('',*,*,#5545,.F.)!#7777=ORIENTED_EDGE('',*,*,#5542,.F.)!#7778=ORIENTED_EDGE('',*,*,#5506,.F.)!#7779=ORIENTED_EDGE('',*,*,#5546,.F.)!#7780=ORIENTED_EDGE('',*,*,#5547,.T.)!#7781=ORIENTED_EDGE('',*,*,#5548,.T.)!#7782=ORIENTED_EDGE('',*,*,#5549,.F.)!#7783=ORIENTED_EDGE('',*,*,#5543,.F.)!#7784=ORIENTED_EDGE('',*,*,#5545,.T.)!#7785=ORIENTED_EDGE('',*,*,#5550,.F.)!#7786=ORIENTED_EDGE('',*,*,#5539,.F.)!#7787=ORIENTED_EDGE('',*,*,#5544,.F.)!#7788=ORIENTED_EDGE('',*,*,#5549,.T.)!#7789=ORIENTED_EDGE('',*,*,#5551,.F.)!#7790=ORIENTED_EDGE('',*,*,#5486,.F.)!#7791=ORIENTED_EDGE('',*,*,#5551,.T.)!#7792=ORIENTED_EDGE('',*,*,#5550,.T.)!#7793=ORIENTED_EDGE('',*,*,#5546,.T.)!#7794=ORIENTED_EDGE('',*,*,#5552,.T.)!#7795=ORIENTED_EDGE('',*,*,#5553,.T.)!#7796=ORIENTED_EDGE('',*,*,#5554,.T.)!#7797=ORIENTED_EDGE('',*,*,#5555,.T.)!#7798=ORIENTED_EDGE('',*,*,#5556,.T.)!#7799=ORIENTED_EDGE('',*,*,#5557,.T.)!#7800=ORIENTED_EDGE('',*,*,#5558,.T.)!#7801=ORIENTED_EDGE('',*,*,#5540,.F.)!#7802=ORIENTED_EDGE('',*,*,#5559,.T.)!#7803=ORIENTED_EDGE('',*,*,#5553,.F.)!#7804=ORIENTED_EDGE('',*,*,#5560,.F.)!#7805=ORIENTED_EDGE('',*,*,#5561,.F.)!#7806=ORIENTED_EDGE('',*,*,#5562,.T.)!#7807=ORIENTED_EDGE('',*,*,#5563,.T.)!#7808=ORIENTED_EDGE('',*,*,#5564,.T.)!#7809=ORIENTED_EDGE('',*,*,#5555,.F.)!#7810=ORIENTED_EDGE('',*,*,#5565,.F.)!#7811=ORIENTED_EDGE('',*,*,#5541,.F.)!#7812=ORIENTED_EDGE('',*,*,#5566,.F.)!#7813=ORIENTED_EDGE('',*,*,#5567,.F.)!#7814=ORIENTED_EDGE('',*,*,#5568,.T.)!#7815=ORIENTED_EDGE('',*,*,#5569,.F.)!#7816=ORIENTED_EDGE('',*,*,#5557,.F.)!#7817=ORIENTED_EDGE('',*,*,#5570,.F.)!#7818=ORIENTED_EDGE('',*,*,#5571,.T.)!#7819=ORIENTED_EDGE('',*,*,#5572,.T.)!#7820=ORIENTED_EDGE('',*,*,#5573,.T.)!#7821=ORIENTED_EDGE('',*,*,#5567,.T.)!#7822=ORIENTED_EDGE('',*,*,#5574,.T.)!#7823=ORIENTED_EDGE('',*,*,#5561,.T.)!#7824=ORIENTED_EDGE('',*,*,#5575,.T.)!#7825=ORIENTED_EDGE('',*,*,#5576,.F.)!#7826=ORIENTED_EDGE('',*,*,#5572,.F.)!#7827=ORIENTED_EDGE('',*,*,#5577,.F.)!#7828=ORIENTED_EDGE('',*,*,#5578,.T.)!#7829=ORIENTED_EDGE('',*,*,#5579,.T.)!#7830=ORIENTED_EDGE('',*,*,#5580,.T.)!#7831=ORIENTED_EDGE('',*,*,#5577,.T.)!#7832=ORIENTED_EDGE('',*,*,#5571,.F.)!#7833=ORIENTED_EDGE('',*,*,#5560,.T.)!#7834=ORIENTED_EDGE('',*,*,#5552,.F.)!#7835=ORIENTED_EDGE('',*,*,#5569,.T.)!#7836=ORIENTED_EDGE('',*,*,#5578,.F.)!#7837=ORIENTED_EDGE('',*,*,#5568,.F.)!#7838=ORIENTED_EDGE('',*,*,#5581,.F.)!#7839=ORIENTED_EDGE('',*,*,#5582,.F.)!#7840=ORIENTED_EDGE('',*,*,#5583,.T.)!#7841=ORIENTED_EDGE('',*,*,#5580,.F.)!#7842=ORIENTED_EDGE('',*,*,#5584,.F.)!#7843=ORIENTED_EDGE('',*,*,#5585,.F.)!#7844=ORIENTED_EDGE('',*,*,#5586,.F.)!#7845=ORIENTED_EDGE('',*,*,#5575,.F.)!#7846=ORIENTED_EDGE('',*,*,#5579,.F.)!#7847=ORIENTED_EDGE('',*,*,#5583,.F.)!#7848=ORIENTED_EDGE('',*,*,#5587,.F.)!#7849=ORIENTED_EDGE('',*,*,#5584,.T.)!#7850=ORIENTED_EDGE('',*,*,#5469,.T.)!#7851=ORIENTED_EDGE('',*,*,#5565,.T.)!#7852=ORIENTED_EDGE('',*,*,#5554,.F.)!#7853=ORIENTED_EDGE('',*,*,#5559,.F.)!#7854=ORIENTED_EDGE('',*,*,#5476,.F.)!#7855=ORIENTED_EDGE('',*,*,#5558,.F.)!#7856=ORIENTED_EDGE('',*,*,#5574,.F.)!#7857=ORIENTED_EDGE('',*,*,#5566,.T.)!#7858=ORIENTED_EDGE('',*,*,#5588,.T.)!#7859=ORIENTED_EDGE('',*,*,#5589,.T.)!#7860=ORIENTED_EDGE('',*,*,#5590,.T.)!#7861=ORIENTED_EDGE('',*,*,#5581,.T.)!#7862=ORIENTED_EDGE('',*,*,#5570,.T.)!#7863=ORIENTED_EDGE('',*,*,#5556,.F.)!#7864=ORIENTED_EDGE('',*,*,#5564,.F.)!#7865=ORIENTED_EDGE('',*,*,#5591,.T.)!#7866=ORIENTED_EDGE('',*,*,#5562,.F.)!#7867=ORIENTED_EDGE('',*,*,#5573,.F.)!#7868=ORIENTED_EDGE('',*,*,#5576,.T.)!#7869=ORIENTED_EDGE('',*,*,#5586,.T.)!#7870=ORIENTED_EDGE('',*,*,#5592,.T.)!#7871=ORIENTED_EDGE('',*,*,#5593,.T.)!#7872=ORIENTED_EDGE('',*,*,#5594,.F.)!#7873=ORIENTED_EDGE('',*,*,#5595,.T.)!#7874=ORIENTED_EDGE('',*,*,#5588,.F.)!#7875=ORIENTED_EDGE('',*,*,#5596,.F.)!#7876=ORIENTED_EDGE('',*,*,#5597,.F.)!#7877=ORIENTED_EDGE('',*,*,#5598,.F.)!#7878=ORIENTED_EDGE('',*,*,#5599,.T.)!#7879=ORIENTED_EDGE('',*,*,#5589,.F.)!#7880=ORIENTED_EDGE('',*,*,#5595,.F.)!#7881=ORIENTED_EDGE('',*,*,#5600,.F.)!#7882=ORIENTED_EDGE('',*,*,#5601,.T.)!#7883=ORIENTED_EDGE('',*,*,#5602,.F.)!#7884=ORIENTED_EDGE('',*,*,#5590,.F.)!#7885=ORIENTED_EDGE('',*,*,#5599,.F.)!#7886=ORIENTED_EDGE('',*,*,#5548,.F.)!#7887=ORIENTED_EDGE('',*,*,#5603,.T.)!#7888=ORIENTED_EDGE('',*,*,#5604,.T.)!#7889=ORIENTED_EDGE('',*,*,#5605,.F.)!#7890=ORIENTED_EDGE('',*,*,#5606,.F.)!#7891=ORIENTED_EDGE('',*,*,#5607,.F.)!#7892=ORIENTED_EDGE('',*,*,#5608,.F.)!#7893=ORIENTED_EDGE('',*,*,#5609,.F.)!#7894=ORIENTED_EDGE('',*,*,#5610,.F.)!#7895=ORIENTED_EDGE('',*,*,#5611,.F.)!#7896=ORIENTED_EDGE('',*,*,#5597,.T.)!#7897=ORIENTED_EDGE('',*,*,#5612,.F.)!#7898=ORIENTED_EDGE('',*,*,#5591,.F.)!#7899=ORIENTED_EDGE('',*,*,#5613,.F.)!#7900=ORIENTED_EDGE('',*,*,#5614,.F.)!#7901=ORIENTED_EDGE('',*,*,#5613,.T.)!#7902=ORIENTED_EDGE('',*,*,#5593,.F.)!#7903=ORIENTED_EDGE('',*,*,#5615,.F.)!#7904=ORIENTED_EDGE('',*,*,#5616,.F.)!#7905=ORIENTED_EDGE('',*,*,#5615,.T.)!#7906=ORIENTED_EDGE('',*,*,#5592,.F.)!#7907=ORIENTED_EDGE('',*,*,#5617,.F.)!#7908=ORIENTED_EDGE('',*,*,#5601,.F.)!#7909=ORIENTED_EDGE('',*,*,#5616,.T.)!#7910=ORIENTED_EDGE('',*,*,#5618,.F.)!#7911=ORIENTED_EDGE('',*,*,#5607,.T.)!#7912=ORIENTED_EDGE('',*,*,#5619,.T.)!#7913=ORIENTED_EDGE('',*,*,#5600,.T.)!#7914=ORIENTED_EDGE('',*,*,#5620,.F.)!#7915=ORIENTED_EDGE('',*,*,#5608,.T.)!#7916=ORIENTED_EDGE('',*,*,#5618,.T.)!#7917=ORIENTED_EDGE('',*,*,#5606,.T.)!#7918=ORIENTED_EDGE('',*,*,#5621,.F.)!#7919=ORIENTED_EDGE('',*,*,#5614,.T.)!#7920=ORIENTED_EDGE('',*,*,#5619,.F.)!#7921=ORIENTED_EDGE('',*,*,#5598,.T.)!#7922=ORIENTED_EDGE('',*,*,#5622,.F.)!#7923=ORIENTED_EDGE('',*,*,#5609,.T.)!#7924=ORIENTED_EDGE('',*,*,#5620,.T.)!#7925=ORIENTED_EDGE('',*,*,#5594,.T.)!#7926=ORIENTED_EDGE('',*,*,#5623,.F.)!#7927=ORIENTED_EDGE('',*,*,#5610,.T.)!#7928=ORIENTED_EDGE('',*,*,#5622,.T.)!#7929=ORIENTED_EDGE('',*,*,#5605,.T.)!#7930=ORIENTED_EDGE('',*,*,#5623,.T.)!#7931=ORIENTED_EDGE('',*,*,#5611,.T.)!#7932=ORIENTED_EDGE('',*,*,#5621,.T.)!#7933=ORIENTED_EDGE('',*,*,#5563,.F.)!#7934=ORIENTED_EDGE('',*,*,#5612,.T.)!#7935=ORIENTED_EDGE('',*,*,#5596,.T.)!#7936=ORIENTED_EDGE('',*,*,#5582,.T.)!#7937=ORIENTED_EDGE('',*,*,#5602,.T.)!#7938=ORIENTED_EDGE('',*,*,#5617,.T.)!#7939=ORIENTED_EDGE('',*,*,#5585,.T.)!#7940=ORIENTED_EDGE('',*,*,#5587,.T.)!#7941=ORIENTED_EDGE('',*,*,#5624,.T.)!#7942=ORIENTED_EDGE('',*,*,#5547,.F.)!#7943=ORIENTED_EDGE('',*,*,#5604,.F.)!#7944=ORIENTED_EDGE('',*,*,#5625,.T.)!#7945=ORIENTED_EDGE('',*,*,#5624,.F.)!#7946=ORIENTED_EDGE('',*,*,#5625,.F.)!#7947=ORIENTED_EDGE('',*,*,#5603,.F.)!#7948=ORIENTED_EDGE('',*,*,#5626,.T.)!#7949=ORIENTED_EDGE('',*,*,#5627,.T.)!#7950=ORIENTED_EDGE('',*,*,#5628,.T.)!#7951=ORIENTED_EDGE('',*,*,#5629,.T.)!#7952=ORIENTED_EDGE('',*,*,#5630,.T.)!#7953=ORIENTED_EDGE('',*,*,#5631,.T.)!#7954=ORIENTED_EDGE('',*,*,#5632,.T.)!#7955=ORIENTED_EDGE('',*,*,#5633,.F.)!#7956=ORIENTED_EDGE('',*,*,#5537,.F.)!#7957=ORIENTED_EDGE('',*,*,#5634,.T.)!#7958=ORIENTED_EDGE('',*,*,#5635,.T.)!#7959=ORIENTED_EDGE('',*,*,#5636,.T.)!#7960=ORIENTED_EDGE('',*,*,#5634,.F.)!#7961=ORIENTED_EDGE('',*,*,#5536,.F.)!#7962=ORIENTED_EDGE('',*,*,#5637,.T.)!#7963=ORIENTED_EDGE('',*,*,#5638,.T.)!#7964=ORIENTED_EDGE('',*,*,#5639,.T.)!#7965=ORIENTED_EDGE('',*,*,#5637,.F.)!#7966=ORIENTED_EDGE('',*,*,#5535,.F.)!#7967=ORIENTED_EDGE('',*,*,#5640,.T.)!#7968=ORIENTED_EDGE('',*,*,#5641,.T.)!#7969=ORIENTED_EDGE('',*,*,#5642,.T.)!#7970=ORIENTED_EDGE('',*,*,#5643,.T.)!#7971=ORIENTED_EDGE('',*,*,#5640,.F.)!#7972=ORIENTED_EDGE('',*,*,#5534,.F.)!#7973=ORIENTED_EDGE('',*,*,#5644,.T.)!#7974=ORIENTED_EDGE('',*,*,#5645,.T.)!#7975=ORIENTED_EDGE('',*,*,#5646,.T.)!#7976=ORIENTED_EDGE('',*,*,#5644,.F.)!#7977=ORIENTED_EDGE('',*,*,#5533,.F.)!#7978=ORIENTED_EDGE('',*,*,#5647,.T.)!#7979=ORIENTED_EDGE('',*,*,#5648,.T.)!#7980=ORIENTED_EDGE('',*,*,#5649,.T.)!#7981=ORIENTED_EDGE('',*,*,#5647,.F.)!#7982=ORIENTED_EDGE('',*,*,#5532,.F.)!#7983=ORIENTED_EDGE('',*,*,#5633,.T.)!#7984=ORIENTED_EDGE('',*,*,#5648,.F.)!#7985=ORIENTED_EDGE('',*,*,#5632,.F.)!#7986=ORIENTED_EDGE('',*,*,#5629,.F.)!#7987=ORIENTED_EDGE('',*,*,#5649,.F.)!#7988=ORIENTED_EDGE('',*,*,#5628,.F.)!#7989=ORIENTED_EDGE('',*,*,#5645,.F.)!#7990=ORIENTED_EDGE('',*,*,#5635,.F.)!#7991=ORIENTED_EDGE('',*,*,#5636,.F.)!#7992=ORIENTED_EDGE('',*,*,#5630,.F.)!#7993=ORIENTED_EDGE('',*,*,#5646,.F.)!#7994=ORIENTED_EDGE('',*,*,#5627,.F.)!#7995=ORIENTED_EDGE('',*,*,#5642,.F.)!#7996=ORIENTED_EDGE('',*,*,#5638,.F.)!#7997=ORIENTED_EDGE('',*,*,#5639,.F.)!#7998=ORIENTED_EDGE('',*,*,#5631,.F.)!#7999=ORIENTED_EDGE('',*,*,#5643,.F.)!#8000=ORIENTED_EDGE('',*,*,#5626,.F.)!#8001=ORIENTED_EDGE('',*,*,#5641,.F.)!#8002=ORIENTED_EDGE('',*,*,#5650,.T.)!#8003=ORIENTED_EDGE('',*,*,#5651,.T.)!#8004=ORIENTED_EDGE('',*,*,#5652,.T.)!#8005=ORIENTED_EDGE('',*,*,#5653,.T.)!#8006=ORIENTED_EDGE('',*,*,#5650,.F.)!#8007=ORIENTED_EDGE('',*,*,#5651,.F.)!#8008=ORIENTED_EDGE('',*,*,#5654,.T.)!#8009=ORIENTED_EDGE('',*,*,#5655,.T.)!#8010=ORIENTED_EDGE('',*,*,#5656,.T.)!#8011=ORIENTED_EDGE('',*,*,#5654,.F.)!#8012=ORIENTED_EDGE('',*,*,#5657,.T.)!#8013=ORIENTED_EDGE('',*,*,#5658,.T.)!#8014=ORIENTED_EDGE('',*,*,#5656,.F.)!#8015=ORIENTED_EDGE('',*,*,#5655,.F.)!#8016=ORIENTED_EDGE('',*,*,#5657,.F.)!#8017=ORIENTED_EDGE('',*,*,#5658,.F.)!#8018=ORIENTED_EDGE('',*,*,#5659,.T.)!#8019=ORIENTED_EDGE('',*,*,#5660,.T.)!#8020=ORIENTED_EDGE('',*,*,#5661,.T.)!#8021=ORIENTED_EDGE('',*,*,#5662,.T.)!#8022=ORIENTED_EDGE('',*,*,#5663,.T.)!#8023=ORIENTED_EDGE('',*,*,#5664,.T.)!#8024=ORIENTED_EDGE('',*,*,#5665,.T.)!#8025=ORIENTED_EDGE('',*,*,#5663,.F.)!#8026=ORIENTED_EDGE('',*,*,#5666,.T.)!#8027=ORIENTED_EDGE('',*,*,#5667,.T.)!#8028=ORIENTED_EDGE('',*,*,#5668,.T.)!#8029=ORIENTED_EDGE('',*,*,#5659,.F.)!#8030=ORIENTED_EDGE('',*,*,#5669,.T.)!#8031=ORIENTED_EDGE('',*,*,#5670,.T.)!#8032=ORIENTED_EDGE('',*,*,#5671,.T.)!#8033=ORIENTED_EDGE('',*,*,#5672,.T.)!#8034=ORIENTED_EDGE('',*,*,#5673,.T.)!#8035=ORIENTED_EDGE('',*,*,#5674,.T.)!#8036=ORIENTED_EDGE('',*,*,#5675,.T.)!#8037=ORIENTED_EDGE('',*,*,#5676,.T.)!#8038=ORIENTED_EDGE('',*,*,#5665,.F.)!#8039=ORIENTED_EDGE('',*,*,#5664,.F.)!#8040=ORIENTED_EDGE('',*,*,#5033,.T.)!#8041=ORIENTED_EDGE('',*,*,#5677,.F.)!#8042=ORIENTED_EDGE('',*,*,#5678,.F.)!#8043=ORIENTED_EDGE('',*,*,#5679,.F.)!#8044=ORIENTED_EDGE('',*,*,#5680,.F.)!#8045=ORIENTED_EDGE('',*,*,#5040,.F.)!#8046=ORIENTED_EDGE('',*,*,#5681,.F.)!#8047=ORIENTED_EDGE('',*,*,#5682,.T.)!#8048=ORIENTED_EDGE('',*,*,#5683,.F.)!#8049=ORIENTED_EDGE('',*,*,#5684,.T.)!#8050=ORIENTED_EDGE('',*,*,#5685,.F.)!#8051=ORIENTED_EDGE('',*,*,#5686,.F.)!#8052=ORIENTED_EDGE('',*,*,#5687,.F.)!#8053=ORIENTED_EDGE('',*,*,#5688,.T.)!#8054=ORIENTED_EDGE('',*,*,#5689,.F.)!#8055=ORIENTED_EDGE('',*,*,#5684,.F.)!#8056=ORIENTED_EDGE('',*,*,#5673,.F.)!#8057=ORIENTED_EDGE('',*,*,#5690,.F.)!#8058=ORIENTED_EDGE('',*,*,#5691,.T.)!#8059=ORIENTED_EDGE('',*,*,#5692,.F.)!#8060=ORIENTED_EDGE('',*,*,#5693,.F.)!#8061=ORIENTED_EDGE('',*,*,#5694,.T.)!#8062=ORIENTED_EDGE('',*,*,#5695,.F.)!#8063=ORIENTED_EDGE('',*,*,#5691,.F.)!#8064=ORIENTED_EDGE('',*,*,#5696,.F.)!#8065=ORIENTED_EDGE('',*,*,#5697,.T.)!#8066=ORIENTED_EDGE('',*,*,#5698,.F.)!#8067=ORIENTED_EDGE('',*,*,#5694,.F.)!#8068=ORIENTED_EDGE('',*,*,#5699,.F.)!#8069=ORIENTED_EDGE('',*,*,#5700,.F.)!#8070=ORIENTED_EDGE('',*,*,#5697,.F.)!#8071=ORIENTED_EDGE('',*,*,#5701,.F.)!#8072=ORIENTED_EDGE('',*,*,#5702,.F.)!#8073=ORIENTED_EDGE('',*,*,#5703,.T.)!#8074=ORIENTED_EDGE('',*,*,#5704,.T.)!#8075=ORIENTED_EDGE('',*,*,#5705,.T.)!#8076=ORIENTED_EDGE('',*,*,#5706,.T.)!#8077=ORIENTED_EDGE('',*,*,#5707,.T.)!#8078=ORIENTED_EDGE('',*,*,#5708,.T.)!#8079=ORIENTED_EDGE('',*,*,#5709,.T.)!#8080=ORIENTED_EDGE('',*,*,#4600,.T.)!#8081=ORIENTED_EDGE('',*,*,#4603,.T.)!#8082=ORIENTED_EDGE('',*,*,#4605,.T.)!#8083=ORIENTED_EDGE('',*,*,#4607,.T.)!#8084=ORIENTED_EDGE('',*,*,#4609,.T.)!#8085=ORIENTED_EDGE('',*,*,#4610,.T.)!#8086=ORIENTED_EDGE('',*,*,#5710,.F.)!#8087=ORIENTED_EDGE('',*,*,#5703,.F.)!#8088=ORIENTED_EDGE('',*,*,#5711,.F.)!#8089=ORIENTED_EDGE('',*,*,#4923,.T.)!#8090=ORIENTED_EDGE('',*,*,#5712,.F.)!#8091=ORIENTED_EDGE('',*,*,#5713,.T.)!#8092=ORIENTED_EDGE('',*,*,#5714,.F.)!#8093=ORIENTED_EDGE('',*,*,#4950,.F.)!#8094=ORIENTED_EDGE('',*,*,#5715,.F.)!#8095=ORIENTED_EDGE('',*,*,#5716,.T.)!#8096=ORIENTED_EDGE('',*,*,#5717,.F.)!#8097=ORIENTED_EDGE('',*,*,#5713,.F.)!#8098=ORIENTED_EDGE('',*,*,#5718,.F.)!#8099=ORIENTED_EDGE('',*,*,#5719,.T.)!#8100=ORIENTED_EDGE('',*,*,#5720,.F.)!#8101=ORIENTED_EDGE('',*,*,#5716,.F.)!#8102=ORIENTED_EDGE('',*,*,#5721,.F.)!#8103=ORIENTED_EDGE('',*,*,#5722,.F.)!#8104=ORIENTED_EDGE('',*,*,#5723,.F.)!#8105=ORIENTED_EDGE('',*,*,#5724,.F.)!#8106=ORIENTED_EDGE('',*,*,#5725,.F.)!#8107=ORIENTED_EDGE('',*,*,#5726,.T.)!#8108=ORIENTED_EDGE('',*,*,#5727,.F.)!#8109=ORIENTED_EDGE('',*,*,#5728,.F.)!#8110=ORIENTED_EDGE('',*,*,#5729,.F.)!#8111=ORIENTED_EDGE('',*,*,#5730,.T.)!#8112=ORIENTED_EDGE('',*,*,#5731,.F.)!#8113=ORIENTED_EDGE('',*,*,#5726,.F.)!#8114=ORIENTED_EDGE('',*,*,#5732,.F.)!#8115=ORIENTED_EDGE('',*,*,#5678,.T.)!#8116=ORIENTED_EDGE('',*,*,#5733,.F.)!#8117=ORIENTED_EDGE('',*,*,#5730,.F.)!#8118=ORIENTED_EDGE('',*,*,#5671,.F.)!#8119=ORIENTED_EDGE('',*,*,#5734,.F.)!#8120=ORIENTED_EDGE('',*,*,#5688,.F.)!#8121=ORIENTED_EDGE('',*,*,#5735,.F.)!#8122=ORIENTED_EDGE('',*,*,#5736,.T.)!#8123=ORIENTED_EDGE('',*,*,#5737,.T.)!#8124=ORIENTED_EDGE('',*,*,#5660,.F.)!#8125=ORIENTED_EDGE('',*,*,#5668,.F.)!#8126=ORIENTED_EDGE('',*,*,#5738,.T.)!#8127=ORIENTED_EDGE('',*,*,#5739,.T.)!#8128=ORIENTED_EDGE('',*,*,#5740,.F.)!#8129=ORIENTED_EDGE('',*,*,#5741,.T.)!#8130=ORIENTED_EDGE('',*,*,#5742,.F.)!#8131=ORIENTED_EDGE('',*,*,#5743,.F.)!#8132=ORIENTED_EDGE('',*,*,#5744,.F.)!#8133=ORIENTED_EDGE('',*,*,#5745,.T.)!#8134=ORIENTED_EDGE('',*,*,#5746,.F.)!#8135=ORIENTED_EDGE('',*,*,#5747,.T.)!#8136=ORIENTED_EDGE('',*,*,#5738,.F.)!#8137=ORIENTED_EDGE('',*,*,#5667,.F.)!#8138=ORIENTED_EDGE('',*,*,#5748,.F.)!#8139=ORIENTED_EDGE('',*,*,#5739,.F.)!#8140=ORIENTED_EDGE('',*,*,#5747,.F.)!#8141=ORIENTED_EDGE('',*,*,#5749,.F.)!#8142=ORIENTED_EDGE('',*,*,#5750,.F.)!#8143=ORIENTED_EDGE('',*,*,#5751,.F.)!#8144=ORIENTED_EDGE('',*,*,#5752,.F.)!#8145=ORIENTED_EDGE('',*,*,#5753,.F.)!#8146=ORIENTED_EDGE('',*,*,#5741,.F.)!#8147=ORIENTED_EDGE('',*,*,#5754,.F.)!#8148=ORIENTED_EDGE('',*,*,#5755,.T.)!#8149=ORIENTED_EDGE('',*,*,#5756,.F.)!#8150=ORIENTED_EDGE('',*,*,#5757,.F.)!#8151=ORIENTED_EDGE('',*,*,#5758,.F.)!#8152=ORIENTED_EDGE('',*,*,#5759,.F.)!#8153=ORIENTED_EDGE('',*,*,#5760,.F.)!#8154=ORIENTED_EDGE('',*,*,#5759,.T.)!#8155=ORIENTED_EDGE('',*,*,#5761,.F.)!#8156=ORIENTED_EDGE('',*,*,#5762,.F.)!#8157=ORIENTED_EDGE('',*,*,#5763,.F.)!#8158=ORIENTED_EDGE('',*,*,#5736,.F.)!#8159=ORIENTED_EDGE('',*,*,#5764,.F.)!#8160=ORIENTED_EDGE('',*,*,#5765,.T.)!#8161=ORIENTED_EDGE('',*,*,#5766,.F.)!#8162=ORIENTED_EDGE('',*,*,#5767,.F.)!#8163=ORIENTED_EDGE('',*,*,#5661,.F.)!#8164=ORIENTED_EDGE('',*,*,#5737,.F.)!#8165=ORIENTED_EDGE('',*,*,#5763,.T.)!#8166=ORIENTED_EDGE('',*,*,#5768,.F.)!#8167=ORIENTED_EDGE('',*,*,#5769,.F.)!#8168=ORIENTED_EDGE('',*,*,#5770,.F.)!#8169=ORIENTED_EDGE('',*,*,#5771,.T.)!#8170=ORIENTED_EDGE('',*,*,#5772,.T.)!#8171=ORIENTED_EDGE('',*,*,#5771,.F.)!#8172=ORIENTED_EDGE('',*,*,#5772,.F.)!#8173=ORIENTED_EDGE('',*,*,#5773,.T.)!#8174=ORIENTED_EDGE('',*,*,#5774,.T.)!#8175=ORIENTED_EDGE('',*,*,#5775,.T.)!#8176=ORIENTED_EDGE('',*,*,#5773,.F.)!#8177=ORIENTED_EDGE('',*,*,#5775,.F.)!#8178=ORIENTED_EDGE('',*,*,#5774,.F.)!#8179=ORIENTED_EDGE('',*,*,#5776,.T.)!#8180=ORIENTED_EDGE('',*,*,#5777,.T.)!#8181=ORIENTED_EDGE('',*,*,#5776,.F.)!#8182=ORIENTED_EDGE('',*,*,#5777,.F.)!#8183=ORIENTED_EDGE('',*,*,#5778,.T.)!#8184=ORIENTED_EDGE('',*,*,#5779,.T.)!#8185=ORIENTED_EDGE('',*,*,#5780,.T.)!#8186=ORIENTED_EDGE('',*,*,#5781,.T.)!#8187=ORIENTED_EDGE('',*,*,#5782,.T.)!#8188=ORIENTED_EDGE('',*,*,#5783,.T.)!#8189=ORIENTED_EDGE('',*,*,#5778,.F.)!#8190=ORIENTED_EDGE('',*,*,#5669,.F.)!#8191=ORIENTED_EDGE('',*,*,#5670,.F.)!#8192=ORIENTED_EDGE('',*,*,#5784,.T.)!#8193=ORIENTED_EDGE('',*,*,#5770,.T.)!#8194=ORIENTED_EDGE('',*,*,#5769,.T.)!#8195=ORIENTED_EDGE('',*,*,#5784,.F.)!#8196=ORIENTED_EDGE('',*,*,#5785,.T.)!#8197=ORIENTED_EDGE('',*,*,#5786,.T.)!#8198=ORIENTED_EDGE('',*,*,#5782,.F.)!#8199=ORIENTED_EDGE('',*,*,#5787,.T.)!#8200=ORIENTED_EDGE('',*,*,#5788,.T.)!#8201=ORIENTED_EDGE('',*,*,#5780,.F.)!#8202=ORIENTED_EDGE('',*,*,#5789,.F.)!#8203=ORIENTED_EDGE('',*,*,#5787,.F.)!#8204=ORIENTED_EDGE('',*,*,#5779,.F.)!#8205=ORIENTED_EDGE('',*,*,#5783,.F.)!#8206=ORIENTED_EDGE('',*,*,#5786,.F.)!#8207=ORIENTED_EDGE('',*,*,#5790,.F.)!#8208=ORIENTED_EDGE('',*,*,#5791,.T.)!#8209=ORIENTED_EDGE('',*,*,#5790,.T.)!#8210=ORIENTED_EDGE('',*,*,#5785,.F.)!#8211=ORIENTED_EDGE('',*,*,#5781,.F.)!#8212=ORIENTED_EDGE('',*,*,#5788,.F.)!#8213=ORIENTED_EDGE('',*,*,#5789,.T.)!#8214=ORIENTED_EDGE('',*,*,#5791,.F.)!#8215=ORIENTED_EDGE('',*,*,#5675,.F.)!#8216=ORIENTED_EDGE('',*,*,#5676,.F.)!#8217=ORIENTED_EDGE('',*,*,#5792,.T.)!#8218=ORIENTED_EDGE('',*,*,#5793,.F.)!#8219=ORIENTED_EDGE('',*,*,#5794,.F.)!#8220=ORIENTED_EDGE('',*,*,#5795,.F.)!#8221=ORIENTED_EDGE('',*,*,#5792,.F.)!#8222=ORIENTED_EDGE('',*,*,#5796,.F.)!#8223=ORIENTED_EDGE('',*,*,#5797,.F.)!#8224=ORIENTED_EDGE('',*,*,#5793,.T.)!#8225=ORIENTED_EDGE('',*,*,#5795,.T.)!#8226=ORIENTED_EDGE('',*,*,#5798,.T.)!#8227=ORIENTED_EDGE('',*,*,#5797,.T.)!#8228=ORIENTED_EDGE('',*,*,#5796,.T.)!#8229=ORIENTED_EDGE('',*,*,#5798,.F.)!#8230=ORIENTED_EDGE('',*,*,#5794,.T.)!#8231=ORIENTED_EDGE('',*,*,#5039,.T.)!#8232=ORIENTED_EDGE('',*,*,#5799,.T.)!#8233=ORIENTED_EDGE('',*,*,#5800,.T.)!#8234=ORIENTED_EDGE('',*,*,#5681,.T.)!#8235=ORIENTED_EDGE('',*,*,#5801,.F.)!#8236=ORIENTED_EDGE('',*,*,#5682,.F.)!#8237=ORIENTED_EDGE('',*,*,#5800,.F.)!#8238=ORIENTED_EDGE('',*,*,#5802,.F.)!#8239=ORIENTED_EDGE('',*,*,#5686,.T.)!#8240=ORIENTED_EDGE('',*,*,#5803,.F.)!#8241=ORIENTED_EDGE('',*,*,#5804,.T.)!#8242=ORIENTED_EDGE('',*,*,#5805,.T.)!#8243=ORIENTED_EDGE('',*,*,#5806,.T.)!#8244=ORIENTED_EDGE('',*,*,#5807,.T.)!#8245=ORIENTED_EDGE('',*,*,#5808,.T.)!#8246=ORIENTED_EDGE('',*,*,#5809,.T.)!#8247=ORIENTED_EDGE('',*,*,#4634,.T.)!#8248=ORIENTED_EDGE('',*,*,#4637,.T.)!#8249=ORIENTED_EDGE('',*,*,#4639,.T.)!#8250=ORIENTED_EDGE('',*,*,#4641,.T.)!#8251=ORIENTED_EDGE('',*,*,#4643,.T.)!#8252=ORIENTED_EDGE('',*,*,#4644,.T.)!#8253=ORIENTED_EDGE('',*,*,#5801,.T.)!#8254=ORIENTED_EDGE('',*,*,#5810,.T.)!#8255=ORIENTED_EDGE('',*,*,#5041,.T.)!#8256=ORIENTED_EDGE('',*,*,#5680,.T.)!#8257=ORIENTED_EDGE('',*,*,#5702,.T.)!#8258=ORIENTED_EDGE('',*,*,#5811,.T.)!#8259=ORIENTED_EDGE('',*,*,#4924,.T.)!#8260=ORIENTED_EDGE('',*,*,#5711,.T.)!#8261=ORIENTED_EDGE('',*,*,#4931,.T.)!#8262=ORIENTED_EDGE('',*,*,#4918,.T.)!#8263=ORIENTED_EDGE('',*,*,#4919,.T.)!#8264=ORIENTED_EDGE('',*,*,#4922,.T.)!#8265=ORIENTED_EDGE('',*,*,#5812,.T.)!#8266=ORIENTED_EDGE('',*,*,#5699,.T.)!#8267=ORIENTED_EDGE('',*,*,#5710,.T.)!#8268=ORIENTED_EDGE('',*,*,#5813,.F.)!#8269=ORIENTED_EDGE('',*,*,#5814,.T.)!#8270=ORIENTED_EDGE('',*,*,#5718,.T.)!#8271=ORIENTED_EDGE('',*,*,#5715,.T.)!#8272=ORIENTED_EDGE('',*,*,#5712,.T.)!#8273=ORIENTED_EDGE('',*,*,#4949,.T.)!#8274=ORIENTED_EDGE('',*,*,#4925,.T.)!#8275=ORIENTED_EDGE('',*,*,#5811,.F.)!#8276=ORIENTED_EDGE('',*,*,#5701,.T.)!#8277=ORIENTED_EDGE('',*,*,#5696,.T.)!#8278=ORIENTED_EDGE('',*,*,#5693,.T.)!#8279=ORIENTED_EDGE('',*,*,#5690,.T.)!#8280=ORIENTED_EDGE('',*,*,#5672,.F.)!#8281=ORIENTED_EDGE('',*,*,#5735,.T.)!#8282=ORIENTED_EDGE('',*,*,#5687,.T.)!#8283=ORIENTED_EDGE('',*,*,#5683,.T.)!#8284=ORIENTED_EDGE('',*,*,#5802,.T.)!#8285=ORIENTED_EDGE('',*,*,#5799,.F.)!#8286=ORIENTED_EDGE('',*,*,#5038,.T.)!#8287=ORIENTED_EDGE('',*,*,#5679,.T.)!#8288=ORIENTED_EDGE('',*,*,#5732,.T.)!#8289=ORIENTED_EDGE('',*,*,#5729,.T.)!#8290=ORIENTED_EDGE('',*,*,#5725,.T.)!#8291=ORIENTED_EDGE('',*,*,#5815,.T.)!#8292=ORIENTED_EDGE('',*,*,#5816,.F.)!#8293=ORIENTED_EDGE('',*,*,#5722,.T.)!#8294=ORIENTED_EDGE('',*,*,#5817,.F.)!#8295=ORIENTED_EDGE('',*,*,#5815,.F.)!#8296=ORIENTED_EDGE('',*,*,#5728,.T.)!#8297=ORIENTED_EDGE('',*,*,#5818,.F.)!#8298=ORIENTED_EDGE('',*,*,#5819,.T.)!#8299=ORIENTED_EDGE('',*,*,#5723,.T.)!#8300=ORIENTED_EDGE('',*,*,#5816,.T.)!#8301=ORIENTED_EDGE('',*,*,#5817,.T.)!#8302=ORIENTED_EDGE('',*,*,#5820,.F.)!#8303=ORIENTED_EDGE('',*,*,#5724,.T.)!#8304=ORIENTED_EDGE('',*,*,#5819,.F.)!#8305=ORIENTED_EDGE('',*,*,#5818,.T.)!#8306=ORIENTED_EDGE('',*,*,#5727,.T.)!#8307=ORIENTED_EDGE('',*,*,#5731,.T.)!#8308=ORIENTED_EDGE('',*,*,#5733,.T.)!#8309=ORIENTED_EDGE('',*,*,#5677,.T.)!#8310=ORIENTED_EDGE('',*,*,#5037,.T.)!#8311=ORIENTED_EDGE('',*,*,#5810,.F.)!#8312=ORIENTED_EDGE('',*,*,#5803,.T.)!#8313=ORIENTED_EDGE('',*,*,#5685,.T.)!#8314=ORIENTED_EDGE('',*,*,#5689,.T.)!#8315=ORIENTED_EDGE('',*,*,#5734,.T.)!#8316=ORIENTED_EDGE('',*,*,#5674,.F.)!#8317=ORIENTED_EDGE('',*,*,#5692,.T.)!#8318=ORIENTED_EDGE('',*,*,#5695,.T.)!#8319=ORIENTED_EDGE('',*,*,#5698,.T.)!#8320=ORIENTED_EDGE('',*,*,#5700,.T.)!#8321=ORIENTED_EDGE('',*,*,#5812,.F.)!#8322=ORIENTED_EDGE('',*,*,#4921,.T.)!#8323=ORIENTED_EDGE('',*,*,#4951,.T.)!#8324=ORIENTED_EDGE('',*,*,#5714,.T.)!#8325=ORIENTED_EDGE('',*,*,#5717,.T.)!#8326=ORIENTED_EDGE('',*,*,#5720,.T.)!#8327=ORIENTED_EDGE('',*,*,#5821,.T.)!#8328=ORIENTED_EDGE('',*,*,#5822,.F.)!#8329=ORIENTED_EDGE('',*,*,#5821,.F.)!#8330=ORIENTED_EDGE('',*,*,#5719,.F.)!#8331=ORIENTED_EDGE('',*,*,#5814,.F.)!#8332=ORIENTED_EDGE('',*,*,#5820,.T.)!#8333=ORIENTED_EDGE('',*,*,#5822,.T.)!#8334=ORIENTED_EDGE('',*,*,#5813,.T.)!#8335=ORIENTED_EDGE('',*,*,#5721,.T.)!#8336=ORIENTED_EDGE('',*,*,#5823,.F.)!#8337=ORIENTED_EDGE('',*,*,#5824,.F.)!#8338=ORIENTED_EDGE('',*,*,#5825,.F.)!#8339=ORIENTED_EDGE('',*,*,#5826,.F.)!#8340=ORIENTED_EDGE('',*,*,#5827,.F.)!#8341=ORIENTED_EDGE('',*,*,#5828,.F.)!#8342=ORIENTED_EDGE('',*,*,#5829,.F.)!#8343=ORIENTED_EDGE('',*,*,#5830,.F.)!#8344=ORIENTED_EDGE('',*,*,#4681,.T.)!#8345=ORIENTED_EDGE('',*,*,#4712,.T.)!#8346=ORIENTED_EDGE('',*,*,#4709,.T.)!#8347=ORIENTED_EDGE('',*,*,#4706,.T.)!#8348=ORIENTED_EDGE('',*,*,#4703,.T.)!#8349=ORIENTED_EDGE('',*,*,#4700,.T.)!#8350=ORIENTED_EDGE('',*,*,#4697,.T.)!#8351=ORIENTED_EDGE('',*,*,#4694,.T.)!#8352=ORIENTED_EDGE('',*,*,#4691,.T.)!#8353=ORIENTED_EDGE('',*,*,#4688,.T.)!#8354=ORIENTED_EDGE('',*,*,#4676,.T.)!#8355=ORIENTED_EDGE('',*,*,#4673,.T.)!#8356=ORIENTED_EDGE('',*,*,#4670,.T.)!#8357=ORIENTED_EDGE('',*,*,#4667,.T.)!#8358=ORIENTED_EDGE('',*,*,#4664,.T.)!#8359=ORIENTED_EDGE('',*,*,#4661,.T.)!#8360=ORIENTED_EDGE('',*,*,#4658,.T.)!#8361=ORIENTED_EDGE('',*,*,#4655,.T.)!#8362=ORIENTED_EDGE('',*,*,#4652,.T.)!#8363=ORIENTED_EDGE('',*,*,#4649,.T.)!#8364=ORIENTED_EDGE('',*,*,#4645,.T.)!#8365=ORIENTED_EDGE('',*,*,#4679,.T.)!#8366=ORIENTED_EDGE('',*,*,#4685,.T.)!#8367=ORIENTED_EDGE('',*,*,#4716,.T.)!#8368=ORIENTED_EDGE('',*,*,#4719,.T.)!#8369=ORIENTED_EDGE('',*,*,#4720,.T.)!#8370=ORIENTED_EDGE('',*,*,#4775,.T.)!#8371=ORIENTED_EDGE('',*,*,#4772,.T.)!#8372=ORIENTED_EDGE('',*,*,#4769,.T.)!#8373=ORIENTED_EDGE('',*,*,#4766,.T.)!#8374=ORIENTED_EDGE('',*,*,#4763,.T.)!#8375=ORIENTED_EDGE('',*,*,#4760,.T.)!#8376=ORIENTED_EDGE('',*,*,#4757,.T.)!#8377=ORIENTED_EDGE('',*,*,#4754,.T.)!#8378=ORIENTED_EDGE('',*,*,#4751,.T.)!#8379=ORIENTED_EDGE('',*,*,#4748,.T.)!#8380=ORIENTED_EDGE('',*,*,#4745,.T.)!#8381=ORIENTED_EDGE('',*,*,#4742,.T.)!#8382=ORIENTED_EDGE('',*,*,#4739,.T.)!#8383=ORIENTED_EDGE('',*,*,#4736,.T.)!#8384=ORIENTED_EDGE('',*,*,#4733,.T.)!#8385=ORIENTED_EDGE('',*,*,#4730,.T.)!#8386=ORIENTED_EDGE('',*,*,#4727,.T.)!#8387=ORIENTED_EDGE('',*,*,#4724,.T.)!#8388=ORIENTED_EDGE('',*,*,#4793,.T.)!#8389=ORIENTED_EDGE('',*,*,#4790,.T.)!#8390=ORIENTED_EDGE('',*,*,#4787,.T.)!#8391=ORIENTED_EDGE('',*,*,#4784,.T.)!#8392=ORIENTED_EDGE('',*,*,#4781,.T.)!#8393=ORIENTED_EDGE('',*,*,#4777,.T.)!#8394=ORIENTED_EDGE('',*,*,#4856,.T.)!#8395=ORIENTED_EDGE('',*,*,#4853,.T.)!#8396=ORIENTED_EDGE('',*,*,#4850,.T.)!#8397=ORIENTED_EDGE('',*,*,#4847,.T.)!#8398=ORIENTED_EDGE('',*,*,#4844,.T.)!#8399=ORIENTED_EDGE('',*,*,#4841,.T.)!#8400=ORIENTED_EDGE('',*,*,#4838,.T.)!#8401=ORIENTED_EDGE('',*,*,#4835,.T.)!#8402=ORIENTED_EDGE('',*,*,#4832,.T.)!#8403=ORIENTED_EDGE('',*,*,#4829,.T.)!#8404=ORIENTED_EDGE('',*,*,#4826,.T.)!#8405=ORIENTED_EDGE('',*,*,#4823,.T.)!#8406=ORIENTED_EDGE('',*,*,#4820,.T.)!#8407=ORIENTED_EDGE('',*,*,#4817,.T.)!#8408=ORIENTED_EDGE('',*,*,#4814,.T.)!#8409=ORIENTED_EDGE('',*,*,#4811,.T.)!#8410=ORIENTED_EDGE('',*,*,#4808,.T.)!#8411=ORIENTED_EDGE('',*,*,#4805,.T.)!#8412=ORIENTED_EDGE('',*,*,#4802,.T.)!#8413=ORIENTED_EDGE('',*,*,#4799,.T.)!#8414=ORIENTED_EDGE('',*,*,#4796,.T.)!#8415=ORIENTED_EDGE('',*,*,#5831,.T.)!#8416=ORIENTED_EDGE('',*,*,#5832,.F.)!#8417=ORIENTED_EDGE('',*,*,#5833,.F.)!#8418=ORIENTED_EDGE('',*,*,#5834,.F.)!#8419=ORIENTED_EDGE('',*,*,#5757,.T.)!#8420=ORIENTED_EDGE('',*,*,#5835,.F.)!#8421=ORIENTED_EDGE('',*,*,#5749,.T.)!#8422=ORIENTED_EDGE('',*,*,#5748,.T.)!#8423=ORIENTED_EDGE('',*,*,#5666,.F.)!#8424=ORIENTED_EDGE('',*,*,#5662,.F.)!#8425=ORIENTED_EDGE('',*,*,#5768,.T.)!#8426=ORIENTED_EDGE('',*,*,#5767,.T.)!#8427=ORIENTED_EDGE('',*,*,#5836,.F.)!#8428=ORIENTED_EDGE('',*,*,#5837,.F.)!#8429=ORIENTED_EDGE('',*,*,#5838,.T.)!#8430=ORIENTED_EDGE('',*,*,#5839,.F.)!#8431=ORIENTED_EDGE('',*,*,#5831,.F.)!#8432=ORIENTED_EDGE('',*,*,#5840,.F.)!#8433=ORIENTED_EDGE('',*,*,#5841,.F.)!#8434=ORIENTED_EDGE('',*,*,#5842,.F.)!#8435=ORIENTED_EDGE('',*,*,#5745,.F.)!#8436=ORIENTED_EDGE('',*,*,#5843,.F.)!#8437=ORIENTED_EDGE('',*,*,#5844,.F.)!#8438=ORIENTED_EDGE('',*,*,#5845,.F.)!#8439=ORIENTED_EDGE('',*,*,#5838,.F.)!#8440=ORIENTED_EDGE('',*,*,#5839,.T.)!#8441=ORIENTED_EDGE('',*,*,#5845,.T.)!#8442=ORIENTED_EDGE('',*,*,#5846,.T.)!#8443=ORIENTED_EDGE('',*,*,#5832,.T.)!#8444=ORIENTED_EDGE('',*,*,#5847,.T.)!#8445=ORIENTED_EDGE('',*,*,#5833,.T.)!#8446=ORIENTED_EDGE('',*,*,#5848,.T.)!#8447=ORIENTED_EDGE('',*,*,#5826,.T.)!#8448=ORIENTED_EDGE('',*,*,#5758,.T.)!#8449=ORIENTED_EDGE('',*,*,#5834,.T.)!#8450=ORIENTED_EDGE('',*,*,#5849,.T.)!#8451=ORIENTED_EDGE('',*,*,#5761,.T.)!#8452=ORIENTED_EDGE('',*,*,#5753,.T.)!#8453=ORIENTED_EDGE('',*,*,#5760,.T.)!#8454=ORIENTED_EDGE('',*,*,#5850,.T.)!#8455=ORIENTED_EDGE('',*,*,#5742,.T.)!#8456=ORIENTED_EDGE('',*,*,#5851,.T.)!#8457=ORIENTED_EDGE('',*,*,#5743,.T.)!#8458=ORIENTED_EDGE('',*,*,#5852,.T.)!#8459=ORIENTED_EDGE('',*,*,#5830,.T.)!#8460=ORIENTED_EDGE('',*,*,#5853,.T.)!#8461=ORIENTED_EDGE('',*,*,#5824,.T.)!#8462=ORIENTED_EDGE('',*,*,#5854,.T.)!#8463=ORIENTED_EDGE('',*,*,#5762,.T.)!#8464=ORIENTED_EDGE('',*,*,#5850,.F.)!#8465=ORIENTED_EDGE('',*,*,#5854,.F.)!#8466=ORIENTED_EDGE('',*,*,#5823,.T.)!#8467=ORIENTED_EDGE('',*,*,#5852,.F.)!#8468=ORIENTED_EDGE('',*,*,#5825,.T.)!#8469=ORIENTED_EDGE('',*,*,#5853,.F.)!#8470=ORIENTED_EDGE('',*,*,#5849,.F.)!#8471=ORIENTED_EDGE('',*,*,#5847,.F.)!#8472=ORIENTED_EDGE('',*,*,#5837,.T.)!#8473=ORIENTED_EDGE('',*,*,#5744,.T.)!#8474=ORIENTED_EDGE('',*,*,#5855,.T.)!#8475=ORIENTED_EDGE('',*,*,#5843,.T.)!#8476=ORIENTED_EDGE('',*,*,#5856,.T.)!#8477=ORIENTED_EDGE('',*,*,#5844,.T.)!#8478=ORIENTED_EDGE('',*,*,#5857,.T.)!#8479=ORIENTED_EDGE('',*,*,#5828,.T.)!#8480=ORIENTED_EDGE('',*,*,#5829,.T.)!#8481=ORIENTED_EDGE('',*,*,#5857,.F.)!#8482=ORIENTED_EDGE('',*,*,#5855,.F.)!#8483=ORIENTED_EDGE('',*,*,#5851,.F.)!#8484=ORIENTED_EDGE('',*,*,#5846,.F.)!#8485=ORIENTED_EDGE('',*,*,#5856,.F.)!#8486=ORIENTED_EDGE('',*,*,#5827,.T.)!#8487=ORIENTED_EDGE('',*,*,#5848,.F.)!#8488=ORIENTED_EDGE('',*,*,#5752,.T.)!#8489=ORIENTED_EDGE('',*,*,#5858,.T.)!#8490=ORIENTED_EDGE('',*,*,#5754,.T.)!#8491=ORIENTED_EDGE('',*,*,#5740,.T.)!#8492=ORIENTED_EDGE('',*,*,#5859,.F.)!#8493=ORIENTED_EDGE('',*,*,#5755,.F.)!#8494=ORIENTED_EDGE('',*,*,#5858,.F.)!#8495=ORIENTED_EDGE('',*,*,#5751,.T.)!#8496=ORIENTED_EDGE('',*,*,#5756,.T.)!#8497=ORIENTED_EDGE('',*,*,#5859,.T.)!#8498=ORIENTED_EDGE('',*,*,#5750,.T.)!#8499=ORIENTED_EDGE('',*,*,#5835,.T.)!#8500=ORIENTED_EDGE('',*,*,#5766,.T.)!#8501=ORIENTED_EDGE('',*,*,#5860,.T.)!#8502=ORIENTED_EDGE('',*,*,#5840,.T.)!#8503=ORIENTED_EDGE('',*,*,#5836,.T.)!#8504=ORIENTED_EDGE('',*,*,#5861,.F.)!#8505=ORIENTED_EDGE('',*,*,#5841,.T.)!#8506=ORIENTED_EDGE('',*,*,#5860,.F.)!#8507=ORIENTED_EDGE('',*,*,#5765,.F.)!#8508=ORIENTED_EDGE('',*,*,#5842,.T.)!#8509=ORIENTED_EDGE('',*,*,#5861,.T.)!#8510=ORIENTED_EDGE('',*,*,#5764,.T.)!#8511=ORIENTED_EDGE('',*,*,#5746,.T.)!#8512=ORIENTED_EDGE('',*,*,#5862,.F.)!#8513=ORIENTED_EDGE('',*,*,#5863,.F.)!#8514=ORIENTED_EDGE('',*,*,#5864,.T.)!#8515=ORIENTED_EDGE('',*,*,#5865,.T.)!#8516=ORIENTED_EDGE('',*,*,#5866,.T.)!#8517=ORIENTED_EDGE('',*,*,#5358,.F.)!#8518=ORIENTED_EDGE('',*,*,#5357,.F.)!#8519=ORIENTED_EDGE('',*,*,#5359,.F.)!#8520=ORIENTED_EDGE('',*,*,#5866,.F.)!#8521=ORIENTED_EDGE('',*,*,#5867,.T.)!#8522=ORIENTED_EDGE('',*,*,#5868,.T.)!#8523=ORIENTED_EDGE('',*,*,#5865,.F.)!#8524=ORIENTED_EDGE('',*,*,#5868,.F.)!#8525=ORIENTED_EDGE('',*,*,#5869,.T.)!#8526=ORIENTED_EDGE('',*,*,#5870,.T.)!#8527=ORIENTED_EDGE('',*,*,#5871,.T.)!#8528=ORIENTED_EDGE('',*,*,#5869,.F.)!#8529=ORIENTED_EDGE('',*,*,#5867,.F.)!#8530=ORIENTED_EDGE('',*,*,#5871,.F.)!#8531=ORIENTED_EDGE('',*,*,#5870,.F.)!#8532=ORIENTED_EDGE('',*,*,#5872,.T.)!#8533=ORIENTED_EDGE('',*,*,#5873,.T.)!#8534=ORIENTED_EDGE('',*,*,#5874,.T.)!#8535=ORIENTED_EDGE('',*,*,#5875,.T.)!#8536=ORIENTED_EDGE('',*,*,#5872,.F.)!#8537=ORIENTED_EDGE('',*,*,#5876,.T.)!#8538=ORIENTED_EDGE('',*,*,#5877,.T.)!#8539=ORIENTED_EDGE('',*,*,#5874,.F.)!#8540=ORIENTED_EDGE('',*,*,#5873,.F.)!#8541=ORIENTED_EDGE('',*,*,#5875,.F.)!#8542=ORIENTED_EDGE('',*,*,#5877,.F.)!#8543=ORIENTED_EDGE('',*,*,#5878,.T.)!#8544=ORIENTED_EDGE('',*,*,#5879,.T.)!#8545=ORIENTED_EDGE('',*,*,#5876,.F.)!#8546=ORIENTED_EDGE('',*,*,#5879,.F.)!#8547=ORIENTED_EDGE('',*,*,#5880,.T.)!#8548=ORIENTED_EDGE('',*,*,#5881,.T.)!#8549=ORIENTED_EDGE('',*,*,#5882,.T.)!#8550=ORIENTED_EDGE('',*,*,#5883,.T.)!#8551=ORIENTED_EDGE('',*,*,#5880,.F.)!#8552=ORIENTED_EDGE('',*,*,#5878,.F.)!#8553=ORIENTED_EDGE('',*,*,#5884,.T.)!#8554=ORIENTED_EDGE('',*,*,#5885,.T.)!#8555=ORIENTED_EDGE('',*,*,#5882,.F.)!#8556=ORIENTED_EDGE('',*,*,#5881,.F.)!#8557=ORIENTED_EDGE('',*,*,#5883,.F.)!#8558=ORIENTED_EDGE('',*,*,#5885,.F.)!#8559=ORIENTED_EDGE('',*,*,#5886,.T.)!#8560=ORIENTED_EDGE('',*,*,#5887,.T.)!#8561=ORIENTED_EDGE('',*,*,#5884,.F.)!#8562=ORIENTED_EDGE('',*,*,#5887,.F.)!#8563=ORIENTED_EDGE('',*,*,#5888,.T.)!#8564=ORIENTED_EDGE('',*,*,#5889,.T.)!#8565=ORIENTED_EDGE('',*,*,#5890,.T.)!#8566=ORIENTED_EDGE('',*,*,#5888,.F.)!#8567=ORIENTED_EDGE('',*,*,#5886,.F.)!#8568=ORIENTED_EDGE('',*,*,#5890,.F.)!#8569=ORIENTED_EDGE('',*,*,#5889,.F.)!#8570=ORIENTED_EDGE('',*,*,#5891,.T.)!#8571=ORIENTED_EDGE('',*,*,#5863,.T.)!#8572=ORIENTED_EDGE('',*,*,#5862,.T.)!#8573=ORIENTED_EDGE('',*,*,#5891,.F.)!#8574=ORIENTED_EDGE('',*,*,#5892,.F.)!#8575=ORIENTED_EDGE('',*,*,#5893,.F.)!#8576=ORIENTED_EDGE('',*,*,#5894,.T.)!#8577=ORIENTED_EDGE('',*,*,#5652,.F.)!#8578=ORIENTED_EDGE('',*,*,#5653,.F.)!#8579=ORIENTED_EDGE('',*,*,#5894,.F.)!#8580=ORIENTED_EDGE('',*,*,#5892,.T.)!#8581=ORIENTED_EDGE('',*,*,#5893,.T.)!#8582=ORIENTED_EDGE('',*,*,#5895,.F.)!#8583=ORIENTED_EDGE('',*,*,#5896,.F.)!#8584=ORIENTED_EDGE('',*,*,#5864,.F.)!#8585=ORIENTED_EDGE('',*,*,#5897,.T.)!#8586=ORIENTED_EDGE('',*,*,#5896,.T.)!#8587=ORIENTED_EDGE('',*,*,#5895,.T.)!#8588=ORIENTED_EDGE('',*,*,#5897,.F.)!#8589=ORIENTED_EDGE('',*,*,#5898,.T.)!#8590=ORIENTED_EDGE('',*,*,#5899,.T.)!#8591=ORIENTED_EDGE('',*,*,#5899,.F.)!#8592=ORIENTED_EDGE('',*,*,#5900,.T.)!#8593=ORIENTED_EDGE('',*,*,#5901,.F.)!#8594=ORIENTED_EDGE('',*,*,#5902,.F.)!#8595=ORIENTED_EDGE('',*,*,#5903,.F.)!#8596=ORIENTED_EDGE('',*,*,#5904,.F.)!#8597=ORIENTED_EDGE('',*,*,#5905,.T.)!#8598=ORIENTED_EDGE('',*,*,#5902,.T.)!#8599=ORIENTED_EDGE('',*,*,#5901,.T.)!#8600=ORIENTED_EDGE('',*,*,#5905,.F.)!#8601=ORIENTED_EDGE('',*,*,#5898,.F.)!#8602=ORIENTED_EDGE('',*,*,#5900,.F.)!#8603=ORIENTED_EDGE('',*,*,#5906,.T.)!#8604=ORIENTED_EDGE('',*,*,#5904,.T.)!#8605=ORIENTED_EDGE('',*,*,#5903,.T.)!#8606=ORIENTED_EDGE('',*,*,#5906,.F.)!#8607=ORIENTED_EDGE('',*,*,#4952,.T.)!#8608=ORIENTED_EDGE('',*,*,#4953,.T.)!#8609=ORIENTED_EDGE('',*,*,#5907,.T.)!#8610=ORIENTED_EDGE('',*,*,#5908,.T.)!#8611=ORIENTED_EDGE('',*,*,#5908,.F.)!#8612=ORIENTED_EDGE('',*,*,#5909,.T.)!#8613=ORIENTED_EDGE('',*,*,#5910,.F.)!#8614=ORIENTED_EDGE('',*,*,#5911,.F.)!#8615=ORIENTED_EDGE('',*,*,#5912,.F.)!#8616=ORIENTED_EDGE('',*,*,#5913,.F.)!#8617=ORIENTED_EDGE('',*,*,#5914,.T.)!#8618=ORIENTED_EDGE('',*,*,#5911,.T.)!#8619=ORIENTED_EDGE('',*,*,#5910,.T.)!#8620=ORIENTED_EDGE('',*,*,#5914,.F.)!#8621=ORIENTED_EDGE('',*,*,#5907,.F.)!#8622=ORIENTED_EDGE('',*,*,#5909,.F.)!#8623=ORIENTED_EDGE('',*,*,#5915,.T.)!#8624=ORIENTED_EDGE('',*,*,#5913,.T.)!#8625=ORIENTED_EDGE('',*,*,#5912,.T.)!#8626=ORIENTED_EDGE('',*,*,#5915,.F.)!#8627=ORIENTED_EDGE('',*,*,#4858,.T.)!#8628=ORIENTED_EDGE('',*,*,#4859,.T.)!#8629=ORIENTED_EDGE('',*,*,#5916,.T.)!#8630=ORIENTED_EDGE('',*,*,#5917,.T.)!#8631=ORIENTED_EDGE('',*,*,#5918,.T.)!#8632=ORIENTED_EDGE('',*,*,#5919,.T.)!#8633=ORIENTED_EDGE('',*,*,#5920,.T.)!#8634=ORIENTED_EDGE('',*,*,#5921,.T.)!#8635=ORIENTED_EDGE('',*,*,#5922,.T.)!#8636=ORIENTED_EDGE('',*,*,#5923,.F.)!#8637=ORIENTED_EDGE('',*,*,#5809,.F.)!#8638=ORIENTED_EDGE('',*,*,#5924,.T.)!#8639=ORIENTED_EDGE('',*,*,#5925,.T.)!#8640=ORIENTED_EDGE('',*,*,#5926,.T.)!#8641=ORIENTED_EDGE('',*,*,#5924,.F.)!#8642=ORIENTED_EDGE('',*,*,#5808,.F.)!#8643=ORIENTED_EDGE('',*,*,#5927,.T.)!#8644=ORIENTED_EDGE('',*,*,#5928,.T.)!#8645=ORIENTED_EDGE('',*,*,#5929,.T.)!#8646=ORIENTED_EDGE('',*,*,#5927,.F.)!#8647=ORIENTED_EDGE('',*,*,#5807,.F.)!#8648=ORIENTED_EDGE('',*,*,#5930,.T.)!#8649=ORIENTED_EDGE('',*,*,#5931,.T.)!#8650=ORIENTED_EDGE('',*,*,#5932,.T.)!#8651=ORIENTED_EDGE('',*,*,#5933,.T.)!#8652=ORIENTED_EDGE('',*,*,#5930,.F.)!#8653=ORIENTED_EDGE('',*,*,#5806,.F.)!#8654=ORIENTED_EDGE('',*,*,#5934,.T.)!#8655=ORIENTED_EDGE('',*,*,#5935,.T.)!#8656=ORIENTED_EDGE('',*,*,#5936,.T.)!#8657=ORIENTED_EDGE('',*,*,#5934,.F.)!#8658=ORIENTED_EDGE('',*,*,#5805,.F.)!#8659=ORIENTED_EDGE('',*,*,#5937,.T.)!#8660=ORIENTED_EDGE('',*,*,#5938,.T.)!#8661=ORIENTED_EDGE('',*,*,#5939,.T.)!#8662=ORIENTED_EDGE('',*,*,#5937,.F.)!#8663=ORIENTED_EDGE('',*,*,#5804,.F.)!#8664=ORIENTED_EDGE('',*,*,#5923,.T.)!#8665=ORIENTED_EDGE('',*,*,#5938,.F.)!#8666=ORIENTED_EDGE('',*,*,#5922,.F.)!#8667=ORIENTED_EDGE('',*,*,#5919,.F.)!#8668=ORIENTED_EDGE('',*,*,#5939,.F.)!#8669=ORIENTED_EDGE('',*,*,#5918,.F.)!#8670=ORIENTED_EDGE('',*,*,#5935,.F.)!#8671=ORIENTED_EDGE('',*,*,#5925,.F.)!#8672=ORIENTED_EDGE('',*,*,#5926,.F.)!#8673=ORIENTED_EDGE('',*,*,#5920,.F.)!#8674=ORIENTED_EDGE('',*,*,#5936,.F.)!#8675=ORIENTED_EDGE('',*,*,#5917,.F.)!#8676=ORIENTED_EDGE('',*,*,#5932,.F.)!#8677=ORIENTED_EDGE('',*,*,#5928,.F.)!#8678=ORIENTED_EDGE('',*,*,#5929,.F.)!#8679=ORIENTED_EDGE('',*,*,#5921,.F.)!#8680=ORIENTED_EDGE('',*,*,#5933,.F.)!#8681=ORIENTED_EDGE('',*,*,#5916,.F.)!#8682=ORIENTED_EDGE('',*,*,#5931,.F.)!#8683=ORIENTED_EDGE('',*,*,#5940,.F.)!#8684=ORIENTED_EDGE('',*,*,#5940,.T.)!#8685=ORIENTED_EDGE('',*,*,#5941,.T.)!#8686=ORIENTED_EDGE('',*,*,#5021,.F.)!#8687=ORIENTED_EDGE('',*,*,#5022,.F.)!#8688=ORIENTED_EDGE('',*,*,#5941,.F.)!#8689=ORIENTED_EDGE('',*,*,#5942,.T.)!#8690=ORIENTED_EDGE('',*,*,#5943,.T.)!#8691=ORIENTED_EDGE('',*,*,#5944,.T.)!#8692=ORIENTED_EDGE('',*,*,#5945,.T.)!#8693=ORIENTED_EDGE('',*,*,#5946,.T.)!#8694=ORIENTED_EDGE('',*,*,#5947,.T.)!#8695=ORIENTED_EDGE('',*,*,#5948,.T.)!#8696=ORIENTED_EDGE('',*,*,#5949,.F.)!#8697=ORIENTED_EDGE('',*,*,#5709,.F.)!#8698=ORIENTED_EDGE('',*,*,#5950,.T.)!#8699=ORIENTED_EDGE('',*,*,#5951,.T.)!#8700=ORIENTED_EDGE('',*,*,#5952,.T.)!#8701=ORIENTED_EDGE('',*,*,#5950,.F.)!#8702=ORIENTED_EDGE('',*,*,#5708,.F.)!#8703=ORIENTED_EDGE('',*,*,#5953,.T.)!#8704=ORIENTED_EDGE('',*,*,#5954,.T.)!#8705=ORIENTED_EDGE('',*,*,#5955,.T.)!#8706=ORIENTED_EDGE('',*,*,#5953,.F.)!#8707=ORIENTED_EDGE('',*,*,#5707,.F.)!#8708=ORIENTED_EDGE('',*,*,#5956,.T.)!#8709=ORIENTED_EDGE('',*,*,#5957,.T.)!#8710=ORIENTED_EDGE('',*,*,#5958,.T.)!#8711=ORIENTED_EDGE('',*,*,#5959,.T.)!#8712=ORIENTED_EDGE('',*,*,#5956,.F.)!#8713=ORIENTED_EDGE('',*,*,#5706,.F.)!#8714=ORIENTED_EDGE('',*,*,#5960,.T.)!#8715=ORIENTED_EDGE('',*,*,#5961,.T.)!#8716=ORIENTED_EDGE('',*,*,#5962,.T.)!#8717=ORIENTED_EDGE('',*,*,#5960,.F.)!#8718=ORIENTED_EDGE('',*,*,#5705,.F.)!#8719=ORIENTED_EDGE('',*,*,#5963,.T.)!#8720=ORIENTED_EDGE('',*,*,#5964,.T.)!#8721=ORIENTED_EDGE('',*,*,#5965,.T.)!#8722=ORIENTED_EDGE('',*,*,#5963,.F.)!#8723=ORIENTED_EDGE('',*,*,#5704,.F.)!#8724=ORIENTED_EDGE('',*,*,#5949,.T.)!#8725=ORIENTED_EDGE('',*,*,#5964,.F.)!#8726=ORIENTED_EDGE('',*,*,#5948,.F.)!#8727=ORIENTED_EDGE('',*,*,#5945,.F.)!#8728=ORIENTED_EDGE('',*,*,#5965,.F.)!#8729=ORIENTED_EDGE('',*,*,#5944,.F.)!#8730=ORIENTED_EDGE('',*,*,#5961,.F.)!#8731=ORIENTED_EDGE('',*,*,#5951,.F.)!#8732=ORIENTED_EDGE('',*,*,#5952,.F.)!#8733=ORIENTED_EDGE('',*,*,#5946,.F.)!#8734=ORIENTED_EDGE('',*,*,#5962,.F.)!#8735=ORIENTED_EDGE('',*,*,#5943,.F.)!#8736=ORIENTED_EDGE('',*,*,#5958,.F.)!#8737=ORIENTED_EDGE('',*,*,#5954,.F.)!#8738=ORIENTED_EDGE('',*,*,#5955,.F.)!#8739=ORIENTED_EDGE('',*,*,#5947,.F.)!#8740=ORIENTED_EDGE('',*,*,#5959,.F.)!#8741=ORIENTED_EDGE('',*,*,#5942,.F.)!#8742=ORIENTED_EDGE('',*,*,#5957,.F.)!#8743=ORIENTED_EDGE('',*,*,#5966,.F.)!#8744=ORIENTED_EDGE('',*,*,#5966,.T.)!#8745=ORIENTED_EDGE('',*,*,#5967,.T.)!#8746=ORIENTED_EDGE('',*,*,#4942,.F.)!#8747=ORIENTED_EDGE('',*,*,#5967,.F.)!#8748=CONICAL_SURFACE('',#9651,0.2271,0.785355184809404)!#8749=CONICAL_SURFACE('',#9655,0.404006350946109,1.0471975511966)!#8750=CONICAL_SURFACE('',#9657,0.404006350946109,1.0471975511966)!#8751=CONICAL_SURFACE('',#9659,0.404006350946109,1.0471975511966)!#8752=CONICAL_SURFACE('',#9661,0.404006350946109,1.0471975511966)!#8753=CONICAL_SURFACE('',#9663,0.404006350946109,1.0471975511966)!#8754=CONICAL_SURFACE('',#9665,0.404006350946109,1.0471975511966)!#8755=CONICAL_SURFACE('',#9674,0.2271,0.785355184809404)!#8756=CONICAL_SURFACE('',#9679,0.404006350946109,1.0471975511966)!#8757=CONICAL_SURFACE('',#9681,0.404006350946109,1.0471975511966)!#8758=CONICAL_SURFACE('',#9683,0.404006350946109,1.0471975511966)!#8759=CONICAL_SURFACE('',#9685,0.404006350946109,1.0471975511966)!#8760=CONICAL_SURFACE('',#9687,0.404006350946109,1.0471975511966)!#8761=CONICAL_SURFACE('',#9689,0.404006350946109,1.0471975511966)!#8762=CONICAL_SURFACE('',#9754,0.226332521472478,1.13446401379631)!#8763=CONICAL_SURFACE('',#9756,0.226332521472478,1.13446401379631)!#8764=CONICAL_SURFACE('',#9758,0.226332521472478,1.13446401379631)!#8765=CONICAL_SURFACE('',#9760,0.226332521472478,1.13446401379631)!#8766=CONICAL_SURFACE('',#9769,0.226332521472478,1.13446401379631)!#8767=CONICAL_SURFACE('',#9771,0.226332521472478,1.13446401379631)!#8768=CONICAL_SURFACE('',#9773,0.226332521472478,1.13446401379631)!#8769=CONICAL_SURFACE('',#9775,0.226332521472478,1.13446401379631)!#8770=CONICAL_SURFACE('',#9786,0.485,0.78539816339745)!#8771=CONICAL_SURFACE('',#9814,0.226332521472478,1.13446401379631)!#8772=CONICAL_SURFACE('',#9816,0.226332521472478,1.13446401379631)!#8773=CONICAL_SURFACE('',#9818,0.226332521472478,1.13446401379631)!#8774=CONICAL_SURFACE('',#9820,0.226332521472478,1.13446401379631)!#8775=CONICAL_SURFACE('',#9829,0.226332521472478,1.13446401379631)!#8776=CONICAL_SURFACE('',#9831,0.226332521472478,1.13446401379631)!#8777=CONICAL_SURFACE('',#9833,0.226332521472478,1.13446401379631)!#8778=CONICAL_SURFACE('',#9835,0.226332521472478,1.13446401379631)!#8779=CONICAL_SURFACE('',#9846,0.485,0.78539816339745)!#8780=CONICAL_SURFACE('',#9881,1.13,1.22173047639603)!#8781=CONICAL_SURFACE('',#9893,1.15947223649159,0.401425727958699)!#8782=CONICAL_SURFACE('',#9909,1.05852776350841,0.401425727958694)!#8783=CONICAL_SURFACE('',#9931,1.38875,1.22173047639603)!#8784=CONICAL_SURFACE('',#9941,1.44097223649159,0.4014257279587)!#8785=CONICAL_SURFACE('',#9957,1.34002776350841,0.4014257279587)!#8786=CONICAL_SURFACE('',#9965,0.269337567297406,1.0471975511966)!#8787=CONICAL_SURFACE('',#9967,0.269337567297406,1.0471975511966)!#8788=CONICAL_SURFACE('',#9969,0.269337567297406,1.0471975511966)!#8789=CONICAL_SURFACE('',#9971,0.269337567297406,1.0471975511966)!#8790=CONICAL_SURFACE('',#9973,0.269337567297406,1.0471975511966)!#8791=CONICAL_SURFACE('',#9975,0.269337567297406,1.0471975511966)!#8792=CONICAL_SURFACE('',#10085,4.6850952983347,1.230457122656)!#8793=CONICAL_SURFACE('',#10086,4.6850952983347,1.230457122656)!#8794=CONICAL_SURFACE('',#10097,4.6850952983347,1.230457122656)!#8795=CONICAL_SURFACE('',#10099,4.6850952983347,1.230457122656)!#8796=CONICAL_SURFACE('',#10109,4.61562873169337,1.22173047639603)!#8797=CONICAL_SURFACE('',#10119,4.34582004062633,1.22173047639603)!#8798=CONICAL_SURFACE('',#10121,4.34582004062633,1.22173047639603)!#8799=CONICAL_SURFACE('',#10133,4.50684801456783,1.22173047639603)!#8800=CONICAL_SURFACE('',#10139,3.46405392854376,1.22173047639603)!#8801=CONICAL_SURFACE('',#10164,0.875984251968504,0.0574588065818062)!#8802=CONICAL_SURFACE('',#10186,1.93051181102362,1.0473098274655)!#8803=CONICAL_SURFACE('',#10197,3.64173228346457,1.22173047639603)!#8804=CONICAL_SURFACE('',#10203,4.53792094262479,1.22173047639603)!#8805=CONICAL_SURFACE('',#10228,3.70084332983076,1.230457122656)!#8806=CONICAL_SURFACE('',#10232,3.70084332983076,1.230457122656)!#8807=CONICAL_SURFACE('',#10239,3.70084332983076,1.230457122656)!#8808=CONICAL_SURFACE('',#10241,3.70084332983076,1.230457122656)!#8809=CONICAL_SURFACE('',#10338,0.269337567297406,1.0471975511966)!#8810=CONICAL_SURFACE('',#10339,0.269337567297406,1.0471975511966)!#8811=CONICAL_SURFACE('',#10340,0.269337567297406,1.0471975511966)!#8812=CONICAL_SURFACE('',#10341,0.269337567297406,1.0471975511966)!#8813=CONICAL_SURFACE('',#10342,0.269337567297406,1.0471975511966)!#8814=CONICAL_SURFACE('',#10343,0.269337567297406,1.0471975511966)!#8815=CONICAL_SURFACE('',#10431,0.7491,0.785398163397448)!#8816=CONICAL_SURFACE('',#10515,4.35972321988234,1.22173047639604)!#8817=CONICAL_SURFACE('',#10533,4.3996062992126,1.0471975511966)!#8818=CONICAL_SURFACE('',#10551,0.485,0.78539816339745)!#8819=CONICAL_SURFACE('',#10562,0.485,0.78539816339745)!#8820=CONICAL_SURFACE('',#10579,0.404006350946109,1.0471975511966)!#8821=CONICAL_SURFACE('',#10580,0.404006350946109,1.0471975511966)!#8822=CONICAL_SURFACE('',#10581,0.404006350946109,1.0471975511966)!#8823=CONICAL_SURFACE('',#10582,0.404006350946109,1.0471975511966)!#8824=CONICAL_SURFACE('',#10583,0.404006350946109,1.0471975511966)!#8825=CONICAL_SURFACE('',#10584,0.404006350946109,1.0471975511966)!#8826=CONICAL_SURFACE('',#10587,0.2271,0.785355184809404)!#8827=CONICAL_SURFACE('',#10601,0.404006350946109,1.0471975511966)!#8828=CONICAL_SURFACE('',#10602,0.404006350946109,1.0471975511966)!#8829=CONICAL_SURFACE('',#10603,0.404006350946109,1.0471975511966)!#8830=CONICAL_SURFACE('',#10604,0.404006350946109,1.0471975511966)!#8831=CONICAL_SURFACE('',#10605,0.404006350946109,1.0471975511966)!#8832=CONICAL_SURFACE('',#10606,0.404006350946109,1.0471975511966)!#8833=CONICAL_SURFACE('',#10609,0.2271,0.785355184809404)!#8834=STYLED_ITEM('',(#17431),#9113)!#8835=STYLED_ITEM('',(#17431),#9114)!#8836=STYLED_ITEM('',(#17431),#9115)!#8837=STYLED_ITEM('',(#17431),#9116)!#8838=STYLED_ITEM('',(#17431),#9117)!#8839=STYLED_ITEM('',(#17431),#9118)!#8840=STYLED_ITEM('',(#17431),#9119)!#8841=STYLED_ITEM('',(#17431),#9120)!#8842=STYLED_ITEM('',(#17431),#9121)!#8843=STYLED_ITEM('',(#17431),#9122)!#8844=STYLED_ITEM('',(#17431),#9123)!#8845=STYLED_ITEM('',(#17431),#9124)!#8846=STYLED_ITEM('',(#17431),#9125)!#8847=STYLED_ITEM('',(#17431),#9126)!#8848=STYLED_ITEM('',(#17431),#9127)!#8849=STYLED_ITEM('',(#17431),#9128)!#8850=STYLED_ITEM('',(#17431),#9129)!#8851=STYLED_ITEM('',(#17431),#9130)!#8852=STYLED_ITEM('',(#17431),#9131)!#8853=STYLED_ITEM('',(#17431),#9132)!#8854=STYLED_ITEM('',(#17431),#9133)!#8855=STYLED_ITEM('',(#17431),#9134)!#8856=STYLED_ITEM('',(#17431),#9135)!#8857=STYLED_ITEM('',(#17431),#9136)!#8858=STYLED_ITEM('',(#17431),#9137)!#8859=STYLED_ITEM('',(#17431),#9138)!#8860=STYLED_ITEM('',(#17431),#9139)!#8861=STYLED_ITEM('',(#17431),#9140)!#8862=STYLED_ITEM('',(#17431),#9141)!#8863=STYLED_ITEM('',(#17431),#9142)!#8864=STYLED_ITEM('',(#17432),#9143)!#8865=STYLED_ITEM('',(#17432),#9144)!#8866=STYLED_ITEM('',(#17432),#9145)!#8867=STYLED_ITEM('',(#17432),#9146)!#8868=STYLED_ITEM('',(#17432),#9147)!#8869=STYLED_ITEM('',(#17432),#9148)!#8870=STYLED_ITEM('',(#17432),#9149)!#8871=STYLED_ITEM('',(#17432),#9150)!#8872=STYLED_ITEM('',(#17432),#9151)!#8873=STYLED_ITEM('',(#17432),#9152)!#8874=STYLED_ITEM('',(#17432),#9153)!#8875=STYLED_ITEM('',(#17432),#9154)!#8876=STYLED_ITEM('',(#17433),#9155)!#8877=STYLED_ITEM('',(#17432),#9156)!#8878=STYLED_ITEM('',(#17432),#9157)!#8879=STYLED_ITEM('',(#17432),#9158)!#8880=STYLED_ITEM('',(#17432),#9159)!#8881=STYLED_ITEM('',(#17432),#9160)!#8882=STYLED_ITEM('',(#17432),#9161)!#8883=STYLED_ITEM('',(#17432),#9162)!#8884=STYLED_ITEM('',(#17432),#9163)!#8885=STYLED_ITEM('',(#17432),#9164)!#8886=STYLED_ITEM('',(#17432),#9165)!#8887=STYLED_ITEM('',(#17432),#9166)!#8888=STYLED_ITEM('',(#17433),#9167)!#8889=STYLED_ITEM('',(#17433),#9168)!#8890=STYLED_ITEM('',(#17432),#9169)!#8891=STYLED_ITEM('',(#17432),#9170)!#8892=STYLED_ITEM('',(#17432),#9171)!#8893=STYLED_ITEM('',(#17432),#9172)!#8894=STYLED_ITEM('',(#17432),#9173)!#8895=STYLED_ITEM('',(#17432),#9174)!#8896=STYLED_ITEM('',(#17432),#9175)!#8897=STYLED_ITEM('',(#17432),#9176)!#8898=STYLED_ITEM('',(#17432),#9177)!#8899=STYLED_ITEM('',(#17432),#9178)!#8900=STYLED_ITEM('',(#17432),#9179)!#8901=STYLED_ITEM('',(#17432),#9180)!#8902=STYLED_ITEM('',(#17432),#9181)!#8903=STYLED_ITEM('',(#17432),#9182)!#8904=STYLED_ITEM('',(#17432),#9183)!#8905=STYLED_ITEM('',(#17432),#9184)!#8906=STYLED_ITEM('',(#17432),#9185)!#8907=STYLED_ITEM('',(#17432),#9186)!#8908=STYLED_ITEM('',(#17432),#9187)!#8909=STYLED_ITEM('',(#17432),#9188)!#8910=STYLED_ITEM('',(#17432),#9189)!#8911=STYLED_ITEM('',(#17434),#9190)!#8912=STYLED_ITEM('',(#17432),#9191)!#8913=STYLED_ITEM('',(#17432),#9192)!#8914=STYLED_ITEM('',(#17432),#9193)!#8915=STYLED_ITEM('',(#17432),#9194)!#8916=STYLED_ITEM('',(#17432),#9195)!#8917=STYLED_ITEM('',(#17432),#9196)!#8918=STYLED_ITEM('',(#17432),#9197)!#8919=STYLED_ITEM('',(#17432),#9198)!#8920=STYLED_ITEM('',(#17432),#9199)!#8921=STYLED_ITEM('',(#17432),#9200)!#8922=STYLED_ITEM('',(#17432),#9201)!#8923=STYLED_ITEM('',(#17432),#9202)!#8924=STYLED_ITEM('',(#17432),#9203)!#8925=STYLED_ITEM('',(#17432),#9204)!#8926=STYLED_ITEM('',(#17432),#9205)!#8927=STYLED_ITEM('',(#17432),#9206)!#8928=STYLED_ITEM('',(#17432),#9207)!#8929=STYLED_ITEM('',(#17432),#9208)!#8930=STYLED_ITEM('',(#17432),#9209)!#8931=STYLED_ITEM('',(#17432),#9210)!#8932=STYLED_ITEM('',(#17432),#9211)!#8933=STYLED_ITEM('',(#17432),#9212)!#8934=STYLED_ITEM('',(#17432),#9213)!#8935=STYLED_ITEM('',(#17432),#9214)!#8936=STYLED_ITEM('',(#17432),#9215)!#8937=STYLED_ITEM('',(#17432),#9216)!#8938=STYLED_ITEM('',(#17432),#9217)!#8939=STYLED_ITEM('',(#17434),#9218)!#8940=STYLED_ITEM('',(#17431),#9219)!#8941=STYLED_ITEM('',(#17431),#9220)!#8942=STYLED_ITEM('',(#17431),#9221)!#8943=STYLED_ITEM('',(#17431),#9222)!#8944=STYLED_ITEM('',(#17431),#9223)!#8945=STYLED_ITEM('',(#17431),#9224)!#8946=STYLED_ITEM('',(#17431),#9225)!#8947=STYLED_ITEM('',(#17431),#9226)!#8948=STYLED_ITEM('',(#17431),#9227)!#8949=STYLED_ITEM('',(#17431),#9228)!#8950=STYLED_ITEM('',(#17431),#9230)!#8951=STYLED_ITEM('',(#17431),#9231)!#8952=STYLED_ITEM('',(#17431),#9232)!#8953=STYLED_ITEM('',(#17431),#9233)!#8954=STYLED_ITEM('',(#17431),#9234)!#8955=STYLED_ITEM('',(#17431),#9235)!#8956=STYLED_ITEM('',(#17431),#9236)!#8957=STYLED_ITEM('',(#17431),#9237)!#8958=STYLED_ITEM('',(#17431),#9238)!#8959=STYLED_ITEM('',(#17431),#9239)!#8960=STYLED_ITEM('',(#17435),#9252)!#8961=STYLED_ITEM('',(#17431),#9253)!#8962=STYLED_ITEM('',(#17431),#9254)!#8963=STYLED_ITEM('',(#17431),#9255)!#8964=STYLED_ITEM('',(#17431),#9256)!#8965=STYLED_ITEM('',(#17431),#9257)!#8966=STYLED_ITEM('',(#17431),#9258)!#8967=STYLED_ITEM('',(#17431),#9259)!#8968=STYLED_ITEM('',(#17431),#9260)!#8969=STYLED_ITEM('',(#17431),#9261)!#8970=STYLED_ITEM('',(#17431),#9262)!#8971=STYLED_ITEM('',(#17431),#9264)!#8972=STYLED_ITEM('',(#17431),#9265)!#8973=STYLED_ITEM('',(#17431),#9266)!#8974=STYLED_ITEM('',(#17431),#9267)!#8975=STYLED_ITEM('',(#17431),#9268)!#8976=STYLED_ITEM('',(#17431),#9269)!#8977=STYLED_ITEM('',(#17431),#9270)!#8978=STYLED_ITEM('',(#17431),#9271)!#8979=STYLED_ITEM('',(#17431),#9272)!#8980=STYLED_ITEM('',(#17431),#9273)!#8981=STYLED_ITEM('',(#17435),#9286)!#8982=STYLED_ITEM('',(#17431),#9314)!#8983=STYLED_ITEM('',(#17431),#9315)!#8984=STYLED_ITEM('',(#17431),#9316)!#8985=STYLED_ITEM('',(#17431),#9317)!#8986=STYLED_ITEM('',(#17431),#9318)!#8987=STYLED_ITEM('',(#17431),#9319)!#8988=STYLED_ITEM('',(#17431),#9320)!#8989=STYLED_ITEM('',(#17431),#9321)!#8990=STYLED_ITEM('',(#17431),#9322)!#8991=STYLED_ITEM('',(#17431),#9323)!#8992=STYLED_ITEM('',(#17431),#9324)!#8993=STYLED_ITEM('',(#17431),#9325)!#8994=STYLED_ITEM('',(#17431),#9326)!#8995=STYLED_ITEM('',(#17431),#9494)!#8996=STYLED_ITEM('',(#17431),#9495)!#8997=STYLED_ITEM('',(#17431),#9496)!#8998=STYLED_ITEM('',(#17431),#9497)!#8999=STYLED_ITEM('',(#17431),#9498)!#9000=STYLED_ITEM('',(#17431),#9499)!#9001=STYLED_ITEM('',(#17431),#9500)!#9002=STYLED_ITEM('',(#17431),#9501)!#9003=STYLED_ITEM('',(#17431),#9502)!#9004=STYLED_ITEM('',(#17431),#9503)!#9005=STYLED_ITEM('',(#17431),#9504)!#9006=STYLED_ITEM('',(#17431),#9505)!#9007=STYLED_ITEM('',(#17431),#9506)!#9008=STYLED_ITEM('',(#17435),#9507)!#9009=STYLED_ITEM('',(#17435),#9508)!#9010=STYLED_ITEM('',(#17435),#9509)!#9011=STYLED_ITEM('',(#17435),#9510)!#9012=STYLED_ITEM('',(#17435),#9511)!#9013=STYLED_ITEM('',(#17435),#9512)!#9014=STYLED_ITEM('',(#17435),#9513)!#9015=STYLED_ITEM('',(#17435),#9514)!#9016=STYLED_ITEM('',(#17435),#9515)!#9017=STYLED_ITEM('',(#17435),#9516)!#9018=STYLED_ITEM('',(#17435),#9517)!#9019=STYLED_ITEM('',(#17435),#9518)!#9020=STYLED_ITEM('',(#17435),#9519)!#9021=STYLED_ITEM('',(#17435),#9520)!#9022=STYLED_ITEM('',(#17435),#9521)!#9023=STYLED_ITEM('',(#17435),#9522)!#9024=STYLED_ITEM('',(#17435),#9523)!#9025=STYLED_ITEM('',(#17435),#9524)!#9026=STYLED_ITEM('',(#17435),#9525)!#9027=STYLED_ITEM('',(#17435),#9526)!#9028=STYLED_ITEM('',(#17435),#9527)!#9029=STYLED_ITEM('',(#17435),#9528)!#9030=STYLED_ITEM('',(#17435),#9529)!#9031=STYLED_ITEM('',(#17435),#9530)!#9032=STYLED_ITEM('',(#17435),#9531)!#9033=STYLED_ITEM('',(#17435),#9532)!#9034=STYLED_ITEM('',(#17435),#9533)!#9035=STYLED_ITEM('',(#17435),#9534)!#9036=STYLED_ITEM('',(#17435),#9535)!#9037=STYLED_ITEM('',(#17435),#9536)!#9038=STYLED_ITEM('',(#17435),#9537)!#9039=STYLED_ITEM('',(#17435),#9538)!#9040=STYLED_ITEM('',(#17435),#9539)!#9041=STYLED_ITEM('',(#17435),#9540)!#9042=STYLED_ITEM('',(#17435),#9541)!#9043=STYLED_ITEM('',(#17435),#9542)!#9044=STYLED_ITEM('',(#17435),#9543)!#9045=STYLED_ITEM('',(#17435),#9544)!#9046=STYLED_ITEM('',(#17435),#9545)!#9047=STYLED_ITEM('',(#17435),#9546)!#9048=STYLED_ITEM('',(#17435),#9547)!#9049=STYLED_ITEM('',(#17435),#9548)!#9050=STYLED_ITEM('',(#17435),#9549)!#9051=STYLED_ITEM('',(#17435),#9550)!#9052=STYLED_ITEM('',(#17435),#9551)!#9053=STYLED_ITEM('',(#17435),#9552)!#9054=STYLED_ITEM('',(#17435),#9553)!#9055=STYLED_ITEM('',(#17435),#9554)!#9056=STYLED_ITEM('',(#17435),#9555)!#9057=STYLED_ITEM('',(#17435),#9556)!#9058=STYLED_ITEM('',(#17435),#9557)!#9059=STYLED_ITEM('',(#17435),#9558)!#9060=STYLED_ITEM('',(#17435),#9559)!#9061=STYLED_ITEM('',(#17435),#9560)!#9062=STYLED_ITEM('',(#17435),#9561)!#9063=STYLED_ITEM('',(#17435),#9562)!#9064=STYLED_ITEM('',(#17435),#9563)!#9065=STYLED_ITEM('',(#17435),#9564)!#9066=STYLED_ITEM('',(#17435),#9565)!#9067=STYLED_ITEM('',(#17435),#9566)!#9068=STYLED_ITEM('',(#17435),#9567)!#9069=STYLED_ITEM('',(#17435),#9568)!#9070=STYLED_ITEM('',(#17435),#9569)!#9071=STYLED_ITEM('',(#17435),#9570)!#9072=STYLED_ITEM('',(#17435),#9571)!#9073=STYLED_ITEM('',(#17435),#9572)!#9074=STYLED_ITEM('',(#17435),#9573)!#9075=STYLED_ITEM('',(#17435),#9574)!#9076=STYLED_ITEM('',(#17435),#9575)!#9077=STYLED_ITEM('',(#17435),#9576)!#9078=STYLED_ITEM('',(#17435),#9577)!#9079=STYLED_ITEM('',(#17435),#9578)!#9080=STYLED_ITEM('',(#17435),#9579)!#9081=STYLED_ITEM('',(#17435),#9580)!#9082=STYLED_ITEM('',(#17431),#9601)!#9083=STYLED_ITEM('',(#17431),#9602)!#9084=STYLED_ITEM('',(#17431),#9603)!#9085=STYLED_ITEM('',(#17431),#9604)!#9086=STYLED_ITEM('',(#17431),#9605)!#9087=STYLED_ITEM('',(#17431),#9606)!#9088=STYLED_ITEM('',(#17431),#9607)!#9089=STYLED_ITEM('',(#17431),#9608)!#9090=STYLED_ITEM('',(#17431),#9609)!#9091=STYLED_ITEM('',(#17431),#9610)!#9092=STYLED_ITEM('',(#17431),#9611)!#9093=STYLED_ITEM('',(#17431),#9612)!#9094=STYLED_ITEM('',(#17431),#9613)!#9095=STYLED_ITEM('',(#17431),#9614)!#9096=STYLED_ITEM('',(#17431),#9615)!#9097=STYLED_ITEM('',(#17431),#9616)!#9098=STYLED_ITEM('',(#17431),#9617)!#9099=STYLED_ITEM('',(#17431),#9618)!#9100=STYLED_ITEM('',(#17431),#9619)!#9101=STYLED_ITEM('',(#17431),#9620)!#9102=STYLED_ITEM('',(#17431),#9621)!#9103=STYLED_ITEM('',(#17431),#9622)!#9104=STYLED_ITEM('',(#17431),#9623)!#9105=STYLED_ITEM('',(#17431),#9624)!#9106=STYLED_ITEM('',(#17431),#9625)!#9107=STYLED_ITEM('',(#17431),#9626)!#9108=STYLED_ITEM('',(#17431),#9627)!#9109=STYLED_ITEM('',(#17431),#9628)!#9110=STYLED_ITEM('',(#17431),#9629)!#9111=STYLED_ITEM('',(#17431),#9630)!#9112=STYLED_ITEM('',(#17430),#13)!#9113=ADVANCED_FACE('',(#738),#8748,.T.)!#9114=ADVANCED_FACE('',(#739),#469,.T.)!#9115=ADVANCED_FACE('',(#740),#8749,.T.)!#9116=ADVANCED_FACE('',(#741),#8750,.T.)!#9117=ADVANCED_FACE('',(#742),#8751,.T.)!#9118=ADVANCED_FACE('',(#743),#8752,.T.)!#9119=ADVANCED_FACE('',(#744),#8753,.T.)!#9120=ADVANCED_FACE('',(#745),#8754,.T.)!#9121=ADVANCED_FACE('',(#746),#470,.T.)!#9122=ADVANCED_FACE('',(#747),#471,.T.)!#9123=ADVANCED_FACE('',(#748),#472,.T.)!#9124=ADVANCED_FACE('',(#749),#473,.T.)!#9125=ADVANCED_FACE('',(#750),#474,.T.)!#9126=ADVANCED_FACE('',(#751),#475,.T.)!#9127=ADVANCED_FACE('',(#752),#476,.F.)!#9128=ADVANCED_FACE('',(#753),#8755,.T.)!#9129=ADVANCED_FACE('',(#754),#477,.T.)!#9130=ADVANCED_FACE('',(#755),#8756,.T.)!#9131=ADVANCED_FACE('',(#756),#8757,.T.)!#9132=ADVANCED_FACE('',(#757),#8758,.T.)!#9133=ADVANCED_FACE('',(#758),#8759,.T.)!#9134=ADVANCED_FACE('',(#759),#8760,.T.)!#9135=ADVANCED_FACE('',(#760),#8761,.T.)!#9136=ADVANCED_FACE('',(#761),#478,.T.)!#9137=ADVANCED_FACE('',(#762),#479,.T.)!#9138=ADVANCED_FACE('',(#763),#480,.T.)!#9139=ADVANCED_FACE('',(#764),#481,.T.)!#9140=ADVANCED_FACE('',(#765),#482,.T.)!#9141=ADVANCED_FACE('',(#766),#483,.T.)!#9142=ADVANCED_FACE('',(#767),#484,.F.)!#9143=ADVANCED_FACE('',(#768),#485,.F.)!#9144=ADVANCED_FACE('',(#769),#312,.F.)!#9145=ADVANCED_FACE('',(#770),#486,.F.)!#9146=ADVANCED_FACE('',(#771),#487,.F.)!#9147=ADVANCED_FACE('',(#772),#488,.F.)!#9148=ADVANCED_FACE('',(#773),#489,.F.)!#9149=ADVANCED_FACE('',(#774),#490,.F.)!#9150=ADVANCED_FACE('',(#775),#491,.F.)!#9151=ADVANCED_FACE('',(#776),#492,.F.)!#9152=ADVANCED_FACE('',(#777),#493,.F.)!#9153=ADVANCED_FACE('',(#778),#494,.F.)!#9154=ADVANCED_FACE('',(#779),#495,.F.)!#9155=ADVANCED_FACE('',(#780),#496,.T.)!#9156=ADVANCED_FACE('',(#781),#497,.T.)!#9157=ADVANCED_FACE('',(#782),#498,.T.)!#9158=ADVANCED_FACE('',(#783),#499,.T.)!#9159=ADVANCED_FACE('',(#784),#500,.T.)!#9160=ADVANCED_FACE('',(#785),#501,.T.)!#9161=ADVANCED_FACE('',(#786),#502,.T.)!#9162=ADVANCED_FACE('',(#787),#503,.T.)!#9163=ADVANCED_FACE('',(#788),#504,.T.)!#9164=ADVANCED_FACE('',(#789),#505,.T.)!#9165=ADVANCED_FACE('',(#790),#506,.T.)!#9166=ADVANCED_FACE('',(#791),#507,.T.)!#9167=ADVANCED_FACE('',(#792),#508,.T.)!#9168=ADVANCED_FACE('',(#793),#509,.T.)!#9169=ADVANCED_FACE('',(#794),#313,.F.)!#9170=ADVANCED_FACE('',(#795),#314,.F.)!#9171=ADVANCED_FACE('',(#796),#315,.F.)!#9172=ADVANCED_FACE('',(#797),#316,.F.)!#9173=ADVANCED_FACE('',(#798),#317,.F.)!#9174=ADVANCED_FACE('',(#799),#318,.F.)!#9175=ADVANCED_FACE('',(#800),#510,.F.)!#9176=ADVANCED_FACE('',(#801),#511,.F.)!#9177=ADVANCED_FACE('',(#802),#512,.F.)!#9178=ADVANCED_FACE('',(#803),#513,.F.)!#9179=ADVANCED_FACE('',(#804),#514,.F.)!#9180=ADVANCED_FACE('',(#805),#515,.F.)!#9181=ADVANCED_FACE('',(#806),#516,.F.)!#9182=ADVANCED_FACE('',(#807),#517,.F.)!#9183=ADVANCED_FACE('',(#808),#518,.F.)!#9184=ADVANCED_FACE('',(#809),#519,.F.)!#9185=ADVANCED_FACE('',(#810),#520,.F.)!#9186=ADVANCED_FACE('',(#811),#319,.F.)!#9187=ADVANCED_FACE('',(#812),#320,.F.)!#9188=ADVANCED_FACE('',(#813),#321,.F.)!#9189=ADVANCED_FACE('',(#814),#322,.F.)!#9190=ADVANCED_FACE('',(#815),#521,.T.)!#9191=ADVANCED_FACE('',(#816),#323,.F.)!#9192=ADVANCED_FACE('',(#817),#324,.F.)!#9193=ADVANCED_FACE('',(#818),#325,.F.)!#9194=ADVANCED_FACE('',(#819),#326,.F.)!#9195=ADVANCED_FACE('',(#820),#522,.F.)!#9196=ADVANCED_FACE('',(#821),#523,.F.)!#9197=ADVANCED_FACE('',(#822),#524,.F.)!#9198=ADVANCED_FACE('',(#823),#525,.F.)!#9199=ADVANCED_FACE('',(#824),#526,.F.)!#9200=ADVANCED_FACE('',(#825),#527,.F.)!#9201=ADVANCED_FACE('',(#826),#327,.F.)!#9202=ADVANCED_FACE('',(#827),#328,.F.)!#9203=ADVANCED_FACE('',(#828),#329,.F.)!#9204=ADVANCED_FACE('',(#829),#330,.F.)!#9205=ADVANCED_FACE('',(#830),#331,.F.)!#9206=ADVANCED_FACE('',(#831),#332,.F.)!#9207=ADVANCED_FACE('',(#832),#528,.F.)!#9208=ADVANCED_FACE('',(#833),#529,.F.)!#9209=ADVANCED_FACE('',(#834),#530,.F.)!#9210=ADVANCED_FACE('',(#835),#531,.F.)!#9211=ADVANCED_FACE('',(#836),#532,.F.)!#9212=ADVANCED_FACE('',(#837),#533,.F.)!#9213=ADVANCED_FACE('',(#838),#534,.F.)!#9214=ADVANCED_FACE('',(#839),#333,.F.)!#9215=ADVANCED_FACE('',(#840),#334,.F.)!#9216=ADVANCED_FACE('',(#841),#335,.F.)!#9217=ADVANCED_FACE('',(#842),#336,.F.)!#9218=ADVANCED_FACE('',(#843),#535,.T.)!#9219=ADVANCED_FACE('',(#844),#105,.T.)!#9220=ADVANCED_FACE('',(#845),#8762,.T.)!#9221=ADVANCED_FACE('',(#846),#8763,.T.)!#9222=ADVANCED_FACE('',(#847),#8764,.T.)!#9223=ADVANCED_FACE('',(#848),#8765,.T.)!#9224=ADVANCED_FACE('',(#849),#536,.T.)!#9225=ADVANCED_FACE('',(#850),#537,.T.)!#9226=ADVANCED_FACE('',(#851),#538,.T.)!#9227=ADVANCED_FACE('',(#852),#539,.T.)!#9228=ADVANCED_FACE('',(#853),#540,.T.)!#9229=ADVANCED_FACE('',(#854,#50,#51),#541,.T.)!#9230=ADVANCED_FACE('',(#855),#106,.T.)!#9231=ADVANCED_FACE('',(#856),#8766,.T.)!#9232=ADVANCED_FACE('',(#857),#8767,.T.)!#9233=ADVANCED_FACE('',(#858),#8768,.T.)!#9234=ADVANCED_FACE('',(#859),#8769,.T.)!#9235=ADVANCED_FACE('',(#860),#542,.T.)!#9236=ADVANCED_FACE('',(#861),#543,.T.)!#9237=ADVANCED_FACE('',(#862),#544,.T.)!#9238=ADVANCED_FACE('',(#863),#545,.T.)!#9239=ADVANCED_FACE('',(#864),#546,.T.)!#9240=ADVANCED_FACE('',(#865),#46,.T.)!#9241=ADVANCED_FACE('',(#866),#8770,.T.)!#9242=ADVANCED_FACE('',(#867,#52),#107,.T.)!#9243=ADVANCED_FACE('',(#868,#53),#547,.T.)!#9244=ADVANCED_FACE('',(#869,#54),#108,.F.)!#9245=ADVANCED_FACE('',(#870,#55),#109,.F.)!#9246=ADVANCED_FACE('',(#871),#110,.F.)!#9247=ADVANCED_FACE('',(#872),#111,.F.)!#9248=ADVANCED_FACE('',(#873),#548,.T.)!#9249=ADVANCED_FACE('',(#874),#549,.T.)!#9250=ADVANCED_FACE('',(#875),#550,.T.)!#9251=ADVANCED_FACE('',(#876,#56,#57,#58,#59),#551,.T.)!#9252=ADVANCED_FACE('',(#877),#552,.T.)!#9253=ADVANCED_FACE('',(#878),#112,.T.)!#9254=ADVANCED_FACE('',(#879),#8771,.T.)!#9255=ADVANCED_FACE('',(#880),#8772,.T.)!#9256=ADVANCED_FACE('',(#881),#8773,.T.)!#9257=ADVANCED_FACE('',(#882),#8774,.T.)!#9258=ADVANCED_FACE('',(#883),#553,.T.)!#9259=ADVANCED_FACE('',(#884),#554,.T.)!#9260=ADVANCED_FACE('',(#885),#555,.T.)!#9261=ADVANCED_FACE('',(#886),#556,.T.)!#9262=ADVANCED_FACE('',(#887),#557,.T.)!#9263=ADVANCED_FACE('',(#888,#60,#61),#558,.T.)!#9264=ADVANCED_FACE('',(#889),#113,.T.)!#9265=ADVANCED_FACE('',(#890),#8775,.T.)!#9266=ADVANCED_FACE('',(#891),#8776,.T.)!#9267=ADVANCED_FACE('',(#892),#8777,.T.)!#9268=ADVANCED_FACE('',(#893),#8778,.T.)!#9269=ADVANCED_FACE('',(#894),#559,.T.)!#9270=ADVANCED_FACE('',(#895),#560,.T.)!#9271=ADVANCED_FACE('',(#896),#561,.T.)!#9272=ADVANCED_FACE('',(#897),#562,.T.)!#9273=ADVANCED_FACE('',(#898),#563,.T.)!#9274=ADVANCED_FACE('',(#899),#47,.T.)!#9275=ADVANCED_FACE('',(#900),#8779,.T.)!#9276=ADVANCED_FACE('',(#901,#62),#114,.T.)!#9277=ADVANCED_FACE('',(#902,#63),#115,.F.)!#9278=ADVANCED_FACE('',(#903,#64),#116,.F.)!#9279=ADVANCED_FACE('',(#904),#117,.F.)!#9280=ADVANCED_FACE('',(#905),#118,.F.)!#9281=ADVANCED_FACE('',(#906,#65,#66,#67,#68),#564,.T.)!#9282=ADVANCED_FACE('',(#907),#565,.T.)!#9283=ADVANCED_FACE('',(#908),#566,.T.)!#9284=ADVANCED_FACE('',(#909),#567,.T.)!#9285=ADVANCED_FACE('',(#910,#69),#568,.T.)!#9286=ADVANCED_FACE('',(#911,#70),#569,.T.)!#9287=ADVANCED_FACE('',(#912),#570,.T.)!#9288=ADVANCED_FACE('',(#913),#18,.F.)!#9289=ADVANCED_FACE('',(#914),#8780,.T.)!#9290=ADVANCED_FACE('',(#915),#119,.T.)!#9291=ADVANCED_FACE('',(#916),#19,.T.)!#9292=ADVANCED_FACE('',(#917),#8781,.F.)!#9293=ADVANCED_FACE('',(#918,#71),#571,.T.)!#9294=ADVANCED_FACE('',(#919),#20,.T.)!#9295=ADVANCED_FACE('',(#920,#72),#572,.T.)!#9296=ADVANCED_FACE('',(#921),#8782,.T.)!#9297=ADVANCED_FACE('',(#922),#21,.F.)!#9298=ADVANCED_FACE('',(#923),#120,.T.)!#9299=ADVANCED_FACE('',(#924),#121,.F.)!#9300=ADVANCED_FACE('',(#925,#73),#573,.T.)!#9301=ADVANCED_FACE('',(#926),#574,.T.)!#9302=ADVANCED_FACE('',(#927),#22,.F.)!#9303=ADVANCED_FACE('',(#928),#8783,.T.)!#9304=ADVANCED_FACE('',(#929),#122,.T.)!#9305=ADVANCED_FACE('',(#930),#23,.T.)!#9306=ADVANCED_FACE('',(#931),#8784,.F.)!#9307=ADVANCED_FACE('',(#932,#74),#575,.T.)!#9308=ADVANCED_FACE('',(#933),#24,.T.)!#9309=ADVANCED_FACE('',(#934,#75),#576,.T.)!#9310=ADVANCED_FACE('',(#935),#8785,.T.)!#9311=ADVANCED_FACE('',(#936),#25,.F.)!#9312=ADVANCED_FACE('',(#937),#123,.T.)!#9313=ADVANCED_FACE('',(#938),#124,.F.)!#9314=ADVANCED_FACE('',(#939),#8786,.T.)!#9315=ADVANCED_FACE('',(#940),#8787,.T.)!#9316=ADVANCED_FACE('',(#941),#8788,.T.)!#9317=ADVANCED_FACE('',(#942),#8789,.T.)!#9318=ADVANCED_FACE('',(#943),#8790,.T.)!#9319=ADVANCED_FACE('',(#944),#8791,.T.)!#9320=ADVANCED_FACE('',(#945),#577,.T.)!#9321=ADVANCED_FACE('',(#946),#578,.T.)!#9322=ADVANCED_FACE('',(#947),#579,.T.)!#9323=ADVANCED_FACE('',(#948),#580,.T.)!#9324=ADVANCED_FACE('',(#949),#581,.T.)!#9325=ADVANCED_FACE('',(#950),#582,.T.)!#9326=ADVANCED_FACE('',(#951),#583,.F.)!#9327=ADVANCED_FACE('',(#952),#584,.T.)!#9328=ADVANCED_FACE('',(#953,#76),#585,.T.)!#9329=ADVANCED_FACE('',(#954),#586,.T.)!#9330=ADVANCED_FACE('',(#955),#587,.T.)!#9331=ADVANCED_FACE('',(#956),#125,.T.)!#9332=ADVANCED_FACE('',(#957),#588,.T.)!#9333=ADVANCED_FACE('',(#958),#589,.T.)!#9334=ADVANCED_FACE('',(#959),#590,.T.)!#9335=ADVANCED_FACE('',(#960),#591,.T.)!#9336=ADVANCED_FACE('',(#961),#592,.T.)!#9337=ADVANCED_FACE('',(#962),#593,.T.)!#9338=ADVANCED_FACE('',(#963),#594,.T.)!#9339=ADVANCED_FACE('',(#964),#595,.T.)!#9340=ADVANCED_FACE('',(#965),#596,.T.)!#9341=ADVANCED_FACE('',(#966),#597,.F.)!#9342=ADVANCED_FACE('',(#967),#598,.T.)!#9343=ADVANCED_FACE('',(#968),#599,.T.)!#9344=ADVANCED_FACE('',(#969),#600,.T.)!#9345=ADVANCED_FACE('',(#970),#601,.T.)!#9346=ADVANCED_FACE('',(#971),#602,.T.)!#9347=ADVANCED_FACE('',(#972),#603,.T.)!#9348=ADVANCED_FACE('',(#973),#604,.T.)!#9349=ADVANCED_FACE('',(#974),#126,.F.)!#9350=ADVANCED_FACE('',(#975),#127,.F.)!#9351=ADVANCED_FACE('',(#976),#128,.F.)!#9352=ADVANCED_FACE('',(#977),#605,.F.)!#9353=ADVANCED_FACE('',(#978),#606,.F.)!#9354=ADVANCED_FACE('',(#979),#129,.T.)!#9355=ADVANCED_FACE('',(#980),#607,.T.)!#9356=ADVANCED_FACE('',(#981),#608,.F.)!#9357=ADVANCED_FACE('',(#982),#609,.T.)!#9358=ADVANCED_FACE('',(#983),#130,.F.)!#9359=ADVANCED_FACE('',(#984),#610,.T.)!#9360=ADVANCED_FACE('',(#985),#611,.T.)!#9361=ADVANCED_FACE('',(#986),#612,.F.)!#9362=ADVANCED_FACE('',(#987),#613,.T.)!#9363=ADVANCED_FACE('',(#988),#614,.T.)!#9364=ADVANCED_FACE('',(#989,#77),#131,.T.)!#9365=ADVANCED_FACE('',(#990),#615,.T.)!#9366=ADVANCED_FACE('',(#991),#132,.T.)!#9367=ADVANCED_FACE('',(#992),#133,.T.)!#9368=ADVANCED_FACE('',(#993),#134,.T.)!#9369=ADVANCED_FACE('',(#994),#135,.F.)!#9370=ADVANCED_FACE('',(#995),#616,.T.)!#9371=ADVANCED_FACE('',(#996,#78),#617,.F.)!#9372=ADVANCED_FACE('',(#997),#618,.F.)!#9373=ADVANCED_FACE('',(#998),#619,.T.)!#9374=ADVANCED_FACE('',(#999),#620,.T.)!#9375=ADVANCED_FACE('',(#1000),#621,.T.)!#9376=ADVANCED_FACE('',(#1001),#136,.T.)!#9377=ADVANCED_FACE('',(#1002),#622,.T.)!#9378=ADVANCED_FACE('',(#1003),#623,.T.)!#9379=ADVANCED_FACE('',(#1004),#137,.T.)!#9380=ADVANCED_FACE('',(#1005),#624,.F.)!#9381=ADVANCED_FACE('',(#1006),#138,.F.)!#9382=ADVANCED_FACE('',(#1007),#139,.F.)!#9383=ADVANCED_FACE('',(#1008),#625,.F.)!#9384=ADVANCED_FACE('',(#1009),#26,.T.)!#9385=ADVANCED_FACE('',(#1010),#8792,.T.)!#9386=ADVANCED_FACE('',(#1011),#8793,.T.)!#9387=ADVANCED_FACE('',(#1012),#27,.T.)!#9388=ADVANCED_FACE('',(#1013),#626,.F.)!#9389=ADVANCED_FACE('',(#1014),#140,.F.)!#9390=ADVANCED_FACE('',(#1015),#141,.F.)!#9391=ADVANCED_FACE('',(#1016),#627,.F.)!#9392=ADVANCED_FACE('',(#1017),#8794,.T.)!#9393=ADVANCED_FACE('',(#1018),#8795,.T.)!#9394=ADVANCED_FACE('',(#1019),#28,.T.)!#9395=ADVANCED_FACE('',(#1020),#29,.T.)!#9396=ADVANCED_FACE('',(#1021),#142,.T.)!#9397=ADVANCED_FACE('',(#1022),#143,.T.)!#9398=ADVANCED_FACE('',(#1023),#8796,.T.)!#9399=ADVANCED_FACE('',(#1024),#628,.T.)!#9400=ADVANCED_FACE('',(#1025),#629,.T.)!#9401=ADVANCED_FACE('',(#1026),#8797,.T.)!#9402=ADVANCED_FACE('',(#1027),#8798,.T.)!#9403=ADVANCED_FACE('',(#1028),#144,.T.)!#9404=ADVANCED_FACE('',(#1029),#145,.T.)!#9405=ADVANCED_FACE('',(#1030),#30,.F.)!#9406=ADVANCED_FACE('',(#1031),#8799,.T.)!#9407=ADVANCED_FACE('',(#1032),#630,.T.)!#9408=ADVANCED_FACE('',(#1033),#8800,.T.)!#9409=ADVANCED_FACE('',(#1034),#31,.F.)!#9410=ADVANCED_FACE('',(#1035),#146,.T.)!#9411=ADVANCED_FACE('',(#1036),#631,.T.)!#9412=ADVANCED_FACE('',(#1037),#32,.T.)!#9413=ADVANCED_FACE('',(#1038),#147,.T.)!#9414=ADVANCED_FACE('',(#1039,#79),#632,.T.)!#9415=ADVANCED_FACE('',(#1040),#8801,.T.)!#9416=ADVANCED_FACE('',(#1041),#633,.T.)!#9417=ADVANCED_FACE('',(#1042),#148,.T.)!#9418=ADVANCED_FACE('',(#1043),#149,.T.)!#9419=ADVANCED_FACE('',(#1044),#33,.F.)!#9420=ADVANCED_FACE('',(#1045,#80),#634,.T.)!#9421=ADVANCED_FACE('',(#1046),#34,.F.)!#9422=ADVANCED_FACE('',(#1047),#8802,.F.)!#9423=ADVANCED_FACE('',(#1048),#35,.T.)!#9424=ADVANCED_FACE('',(#1049,#81),#635,.T.)!#9425=ADVANCED_FACE('',(#1050),#8803,.T.)!#9426=ADVANCED_FACE('',(#1051),#8804,.T.)!#9427=ADVANCED_FACE('',(#1052),#150,.T.)!#9428=ADVANCED_FACE('',(#1053),#36,.F.)!#9429=ADVANCED_FACE('',(#1054),#151,.T.)!#9430=ADVANCED_FACE('',(#1055),#636,.F.)!#9431=ADVANCED_FACE('',(#1056),#37,.T.)!#9432=ADVANCED_FACE('',(#1057),#38,.T.)!#9433=ADVANCED_FACE('',(#1058),#8805,.T.)!#9434=ADVANCED_FACE('',(#1059),#152,.F.)!#9435=ADVANCED_FACE('',(#1060),#637,.F.)!#9436=ADVANCED_FACE('',(#1061),#8806,.T.)!#9437=ADVANCED_FACE('',(#1062),#39,.T.)!#9438=ADVANCED_FACE('',(#1063),#8807,.T.)!#9439=ADVANCED_FACE('',(#1064),#638,.F.)!#9440=ADVANCED_FACE('',(#1065),#8808,.T.)!#9441=ADVANCED_FACE('',(#1066),#40,.T.)!#9442=ADVANCED_FACE('',(#1067),#153,.F.)!#9443=ADVANCED_FACE('',(#1068),#639,.F.)!#9444=ADVANCED_FACE('',(#1069),#154,.T.)!#9445=ADVANCED_FACE('',(#1070),#640,.T.)!#9446=ADVANCED_FACE('',(#1071),#641,.T.)!#9447=ADVANCED_FACE('',(#1072),#155,.T.)!#9448=ADVANCED_FACE('',(#1073),#642,.T.)!#9449=ADVANCED_FACE('',(#1074),#643,.T.)!#9450=ADVANCED_FACE('',(#1075),#644,.T.)!#9451=ADVANCED_FACE('',(#1076),#645,.F.)!#9452=ADVANCED_FACE('',(#1077,#82),#646,.F.)!#9453=ADVANCED_FACE('',(#1078),#647,.T.)!#9454=ADVANCED_FACE('',(#1079),#156,.F.)!#9455=ADVANCED_FACE('',(#1080),#157,.T.)!#9456=ADVANCED_FACE('',(#1081),#158,.T.)!#9457=ADVANCED_FACE('',(#1082),#159,.T.)!#9458=ADVANCED_FACE('',(#1083),#648,.T.)!#9459=ADVANCED_FACE('',(#1084,#83),#160,.T.)!#9460=ADVANCED_FACE('',(#1085),#649,.T.)!#9461=ADVANCED_FACE('',(#1086),#650,.T.)!#9462=ADVANCED_FACE('',(#1087),#651,.F.)!#9463=ADVANCED_FACE('',(#1088),#652,.T.)!#9464=ADVANCED_FACE('',(#1089),#653,.T.)!#9465=ADVANCED_FACE('',(#1090),#161,.F.)!#9466=ADVANCED_FACE('',(#1091),#654,.T.)!#9467=ADVANCED_FACE('',(#1092),#655,.F.)!#9468=ADVANCED_FACE('',(#1093),#656,.T.)!#9469=ADVANCED_FACE('',(#1094),#162,.T.)!#9470=ADVANCED_FACE('',(#1095),#657,.F.)!#9471=ADVANCED_FACE('',(#1096),#658,.F.)!#9472=ADVANCED_FACE('',(#1097),#163,.F.)!#9473=ADVANCED_FACE('',(#1098),#164,.F.)!#9474=ADVANCED_FACE('',(#1099),#165,.F.)!#9475=ADVANCED_FACE('',(#1100),#659,.T.)!#9476=ADVANCED_FACE('',(#1101),#660,.T.)!#9477=ADVANCED_FACE('',(#1102),#661,.T.)!#9478=ADVANCED_FACE('',(#1103),#662,.T.)!#9479=ADVANCED_FACE('',(#1104),#663,.T.)!#9480=ADVANCED_FACE('',(#1105),#664,.F.)!#9481=ADVANCED_FACE('',(#1106),#665,.F.)!#9482=ADVANCED_FACE('',(#1107),#666,.T.)!#9483=ADVANCED_FACE('',(#1108),#667,.T.)!#9484=ADVANCED_FACE('',(#1109),#668,.T.)!#9485=ADVANCED_FACE('',(#1110),#669,.T.)!#9486=ADVANCED_FACE('',(#1111),#670,.T.)!#9487=ADVANCED_FACE('',(#1112),#671,.T.)!#9488=ADVANCED_FACE('',(#1113),#672,.T.)!#9489=ADVANCED_FACE('',(#1114),#673,.T.)!#9490=ADVANCED_FACE('',(#1115),#674,.T.)!#9491=ADVANCED_FACE('',(#1116),#675,.T.)!#9492=ADVANCED_FACE('',(#1117,#84),#676,.T.)!#9493=ADVANCED_FACE('',(#1118),#166,.T.)!#9494=ADVANCED_FACE('',(#1119),#677,.F.)!#9495=ADVANCED_FACE('',(#1120),#678,.T.)!#9496=ADVANCED_FACE('',(#1121),#679,.T.)!#9497=ADVANCED_FACE('',(#1122),#680,.T.)!#9498=ADVANCED_FACE('',(#1123),#681,.T.)!#9499=ADVANCED_FACE('',(#1124),#682,.T.)!#9500=ADVANCED_FACE('',(#1125),#683,.T.)!#9501=ADVANCED_FACE('',(#1126),#8809,.T.)!#9502=ADVANCED_FACE('',(#1127),#8810,.T.)!#9503=ADVANCED_FACE('',(#1128),#8811,.T.)!#9504=ADVANCED_FACE('',(#1129),#8812,.T.)!#9505=ADVANCED_FACE('',(#1130),#8813,.T.)!#9506=ADVANCED_FACE('',(#1131),#8814,.T.)!#9507=ADVANCED_FACE('',(#1132,#85),#684,.T.)!#9508=ADVANCED_FACE('',(#1133),#167,.T.)!#9509=ADVANCED_FACE('',(#1134,#86),#685,.T.)!#9510=ADVANCED_FACE('',(#1135,#87,#88),#168,.T.)!#9511=ADVANCED_FACE('',(#1136,#89),#686,.T.)!#9512=ADVANCED_FACE('',(#1137),#687,.T.)!#9513=ADVANCED_FACE('',(#1138),#688,.T.)!#9514=ADVANCED_FACE('',(#1139),#169,.F.)!#9515=ADVANCED_FACE('',(#1140),#689,.T.)!#9516=ADVANCED_FACE('',(#1141),#170,.T.)!#9517=ADVANCED_FACE('',(#1142),#690,.T.)!#9518=ADVANCED_FACE('',(#1143),#171,.F.)!#9519=ADVANCED_FACE('',(#1144,#90,#91),#691,.T.)!#9520=ADVANCED_FACE('',(#1145),#692,.T.)!#9521=ADVANCED_FACE('',(#1146),#172,.T.)!#9522=ADVANCED_FACE('',(#1147),#693,.T.)!#9523=ADVANCED_FACE('',(#1148),#173,.F.)!#9524=ADVANCED_FACE('',(#1149),#694,.T.)!#9525=ADVANCED_FACE('',(#1150),#174,.F.)!#9526=ADVANCED_FACE('',(#1151),#695,.T.)!#9527=ADVANCED_FACE('',(#1152),#175,.T.)!#9528=ADVANCED_FACE('',(#1153),#176,.T.)!#9529=ADVANCED_FACE('',(#1154),#696,.T.)!#9530=ADVANCED_FACE('',(#1155),#697,.T.)!#9531=ADVANCED_FACE('',(#1156),#177,.T.)!#9532=ADVANCED_FACE('',(#1157),#698,.T.)!#9533=ADVANCED_FACE('',(#1158),#699,.T.)!#9534=ADVANCED_FACE('',(#1159),#178,.T.)!#9535=ADVANCED_FACE('',(#1160),#700,.T.)!#9536=ADVANCED_FACE('',(#1161,#92),#701,.T.)!#9537=ADVANCED_FACE('',(#1162),#179,.T.)!#9538=ADVANCED_FACE('',(#1163,#93),#702,.T.)!#9539=ADVANCED_FACE('',(#1164),#180,.T.)!#9540=ADVANCED_FACE('',(#1165),#8815,.T.)!#9541=ADVANCED_FACE('',(#1166),#703,.T.)!#9542=ADVANCED_FACE('',(#1167),#704,.T.)!#9543=ADVANCED_FACE('',(#1168),#41,.T.)!#9544=ADVANCED_FACE('',(#1169),#181,.T.)!#9545=ADVANCED_FACE('',(#1170),#705,.T.)!#9546=ADVANCED_FACE('',(#1171),#42,.T.)!#9547=ADVANCED_FACE('',(#1172),#182,.T.)!#9548=ADVANCED_FACE('',(#1173,#94,#95),#706,.T.)!#9549=ADVANCED_FACE('',(#1174),#183,.T.)!#9550=ADVANCED_FACE('',(#1175),#184,.T.)!#9551=ADVANCED_FACE('',(#1176,#96),#707,.T.)!#9552=ADVANCED_FACE('',(#1177),#185,.T.)!#9553=ADVANCED_FACE('',(#1178),#708,.T.)!#9554=ADVANCED_FACE('',(#1179),#709,.T.)!#9555=ADVANCED_FACE('',(#1180),#186,.T.)!#9556=ADVANCED_FACE('',(#1181),#710,.F.)!#9557=ADVANCED_FACE('',(#1182),#711,.T.)!#9558=ADVANCED_FACE('',(#1183),#187,.T.)!#9559=ADVANCED_FACE('',(#1184,#97,#98,#99,#100),#712,.T.)!#9560=ADVANCED_FACE('',(#1185),#713,.F.)!#9561=ADVANCED_FACE('',(#1186),#714,.T.)!#9562=ADVANCED_FACE('',(#1187),#715,.T.)!#9563=ADVANCED_FACE('',(#1188),#188,.T.)!#9564=ADVANCED_FACE('',(#1189),#189,.T.)!#9565=ADVANCED_FACE('',(#1190),#190,.T.)!#9566=ADVANCED_FACE('',(#1191),#191,.T.)!#9567=ADVANCED_FACE('',(#1192),#192,.T.)!#9568=ADVANCED_FACE('',(#1193),#193,.T.)!#9569=ADVANCED_FACE('',(#1194),#14,.F.)!#9570=ADVANCED_FACE('',(#1195),#15,.F.)!#9571=ADVANCED_FACE('',(#1196),#194,.T.)!#9572=ADVANCED_FACE('',(#1197),#195,.T.)!#9573=ADVANCED_FACE('',(#1198),#16,.F.)!#9574=ADVANCED_FACE('',(#1199),#17,.F.)!#9575=ADVANCED_FACE('',(#1200),#196,.T.)!#9576=ADVANCED_FACE('',(#1201),#716,.T.)!#9577=ADVANCED_FACE('',(#1202),#197,.T.)!#9578=ADVANCED_FACE('',(#1203),#198,.T.)!#9579=ADVANCED_FACE('',(#1204),#717,.T.)!#9580=ADVANCED_FACE('',(#1205),#199,.T.)!#9581=ADVANCED_FACE('',(#1206,#101),#718,.T.)!#9582=ADVANCED_FACE('',(#1207),#43,.F.)!#9583=ADVANCED_FACE('',(#1208),#200,.T.)!#9584=ADVANCED_FACE('',(#1209),#8816,.T.)!#9585=ADVANCED_FACE('',(#1210),#44,.F.)!#9586=ADVANCED_FACE('',(#1211),#201,.T.)!#9587=ADVANCED_FACE('',(#1212),#45,.F.)!#9588=ADVANCED_FACE('',(#1213),#8817,.T.)!#9589=ADVANCED_FACE('',(#1214),#202,.T.)!#9590=ADVANCED_FACE('',(#1215),#203,.T.)!#9591=ADVANCED_FACE('',(#1216,#102),#719,.T.)!#9592=ADVANCED_FACE('',(#1217),#204,.T.)!#9593=ADVANCED_FACE('',(#1218),#48,.T.)!#9594=ADVANCED_FACE('',(#1219),#720,.T.)!#9595=ADVANCED_FACE('',(#1220),#8818,.T.)!#9596=ADVANCED_FACE('',(#1221,#103),#205,.T.)!#9597=ADVANCED_FACE('',(#1222),#49,.T.)!#9598=ADVANCED_FACE('',(#1223),#721,.T.)!#9599=ADVANCED_FACE('',(#1224),#8819,.T.)!#9600=ADVANCED_FACE('',(#1225,#104),#206,.T.)!#9601=ADVANCED_FACE('',(#1226),#722,.F.)!#9602=ADVANCED_FACE('',(#1227),#723,.T.)!#9603=ADVANCED_FACE('',(#1228),#724,.T.)!#9604=ADVANCED_FACE('',(#1229),#725,.T.)!#9605=ADVANCED_FACE('',(#1230),#726,.T.)!#9606=ADVANCED_FACE('',(#1231),#727,.T.)!#9607=ADVANCED_FACE('',(#1232),#728,.T.)!#9608=ADVANCED_FACE('',(#1233),#8820,.T.)!#9609=ADVANCED_FACE('',(#1234),#8821,.T.)!#9610=ADVANCED_FACE('',(#1235),#8822,.T.)!#9611=ADVANCED_FACE('',(#1236),#8823,.T.)!#9612=ADVANCED_FACE('',(#1237),#8824,.T.)!#9613=ADVANCED_FACE('',(#1238),#8825,.T.)!#9614=ADVANCED_FACE('',(#1239),#729,.T.)!#9615=ADVANCED_FACE('',(#1240),#8826,.T.)!#9616=ADVANCED_FACE('',(#1241),#730,.F.)!#9617=ADVANCED_FACE('',(#1242),#731,.T.)!#9618=ADVANCED_FACE('',(#1243),#732,.T.)!#9619=ADVANCED_FACE('',(#1244),#733,.T.)!#9620=ADVANCED_FACE('',(#1245),#734,.T.)!#9621=ADVANCED_FACE('',(#1246),#735,.T.)!#9622=ADVANCED_FACE('',(#1247),#736,.T.)!#9623=ADVANCED_FACE('',(#1248),#8827,.T.)!#9624=ADVANCED_FACE('',(#1249),#8828,.T.)!#9625=ADVANCED_FACE('',(#1250),#8829,.T.)!#9626=ADVANCED_FACE('',(#1251),#8830,.T.)!#9627=ADVANCED_FACE('',(#1252),#8831,.T.)!#9628=ADVANCED_FACE('',(#1253),#8832,.T.)!#9629=ADVANCED_FACE('',(#1254),#737,.T.)!#9630=ADVANCED_FACE('',(#1255),#8833,.T.)!#9631=CLOSED_SHELL('',(#9113,#9114,#9115,#9116,#9117,#9118,#9119,#9120, #9121,#9122,#9123,#9124,#9125,#9126,#9127,#9128,#9129,#9130,#9131,#9132, #9133,#9134,#9135,#9136,#9137,#9138,#9139,#9140,#9141,#9142,#9143,#9144, #9145,#9146,#9147,#9148,#9149,#9150,#9151,#9152,#9153,#9154,#9155,#9156, #9157,#9158,#9159,#9160,#9161,#9162,#9163,#9164,#9165,#9166,#9167,#9168, #9169,#9170,#9171,#9172,#9173,#9174,#9175,#9176,#9177,#9178,#9179,#9180, #9181,#9182,#9183,#9184,#9185,#9186,#9187,#9188,#9189,#9190,#9191,#9192, #9193,#9194,#9195,#9196,#9197,#9198,#9199,#9200,#9201,#9202,#9203,#9204, #9205,#9206,#9207,#9208,#9209,#9210,#9211,#9212,#9213,#9214,#9215,#9216, #9217,#9218,#9219,#9220,#9221,#9222,#9223,#9224,#9225,#9226,#9227,#9228, #9229,#9230,#9231,#9232,#9233,#9234,#9235,#9236,#9237,#9238,#9239,#9240, #9241,#9242,#9243,#9244,#9245,#9246,#9247,#9248,#9249,#9250,#9251,#9252, #9253,#9254,#9255,#9256,#9257,#9258,#9259,#9260,#9261,#9262,#9263,#9264, #9265,#9266,#9267,#9268,#9269,#9270,#9271,#9272,#9273,#9274,#9275,#9276, #9277,#9278,#9279,#9280,#9281,#9282,#9283,#9284,#9285,#9286,#9287,#9288, #9289,#9290,#9291,#9292,#9293,#9294,#9295,#9296,#9297,#9298,#9299,#9300, #9301,#9302,#9303,#9304,#9305,#9306,#9307,#9308,#9309,#9310,#9311,#9312, #9313,#9314,#9315,#9316,#9317,#9318,#9319,#9320,#9321,#9322,#9323,#9324, #9325,#9326,#9327,#9328,#9329,#9330,#9331,#9332,#9333,#9334,#9335,#9336, #9337,#9338,#9339,#9340,#9341,#9342,#9343,#9344,#9345,#9346,#9347,#9348, #9349,#9350,#9351,#9352,#9353,#9354,#9355,#9356,#9357,#9358,#9359,#9360, #9361,#9362,#9363,#9364,#9365,#9366,#9367,#9368,#9369,#9370,#9371,#9372, #9373,#9374,#9375,#9376,#9377,#9378,#9379,#9380,#9381,#9382,#9383,#9384, #9385,#9386,#9387,#9388,#9389,#9390,#9391,#9392,#9393,#9394,#9395,#9396, #9397,#9398,#9399,#9400,#9401,#9402,#9403,#9404,#9405,#9406,#9407,#9408, #9409,#9410,#9411,#9412,#9413,#9414,#9415,#9416,#9417,#9418,#9419,#9420, #9421,#9422,#9423,#9424,#9425,#9426,#9427,#9428,#9429,#9430,#9431,#9432, #9433,#9434,#9435,#9436,#9437,#9438,#9439,#9440,#9441,#9442,#9443,#9444, #9445,#9446,#9447,#9448,#9449,#9450,#9451,#9452,#9453,#9454,#9455,#9456, #9457,#9458,#9459,#9460,#9461,#9462,#9463,#9464,#9465,#9466,#9467,#9468, #9469,#9470,#9471,#9472,#9473,#9474,#9475,#9476,#9477,#9478,#9479,#9480, #9481,#9482,#9483,#9484,#9485,#9486,#9487,#9488,#9489,#9490,#9491,#9492, #9493,#9494,#9495,#9496,#9497,#9498,#9499,#9500,#9501,#9502,#9503,#9504, #9505,#9506,#9507,#9508,#9509,#9510,#9511,#9512,#9513,#9514,#9515,#9516, #9517,#9518,#9519,#9520,#9521,#9522,#9523,#9524,#9525,#9526,#9527,#9528, #9529,#9530,#9531,#9532,#9533,#9534,#9535,#9536,#9537,#9538,#9539,#9540, #9541,#9542,#9543,#9544,#9545,#9546,#9547,#9548,#9549,#9550,#9551,#9552, #9553,#9554,#9555,#9556,#9557,#9558,#9559,#9560,#9561,#9562,#9563,#9564, #9565,#9566,#9567,#9568,#9569,#9570,#9571,#9572,#9573,#9574,#9575,#9576, #9577,#9578,#9579,#9580,#9581,#9582,#9583,#9584,#9585,#9586,#9587,#9588, #9589,#9590,#9591,#9592,#9593,#9594,#9595,#9596,#9597,#9598,#9599,#9600, #9601,#9602,#9603,#9604,#9605,#9606,#9607,#9608,#9609,#9610,#9611,#9612, #9613,#9614,#9615,#9616,#9617,#9618,#9619,#9620,#9621,#9622,#9623,#9624, #9625,#9626,#9627,#9628,#9629,#9630))!#9632=DERIVED_UNIT_ELEMENT(#9634,1.)!#9633=DERIVED_UNIT_ELEMENT(#17415,3.)!9634=MASSunit#9635=DERIVED_UNIT((#9632,#9633))!#9636=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#9635)!#9637=PROPERTY_DEFINITION_REPRESENTATION(#9642,#9639)!#9638=PROPERTY_DEFINITION_REPRESENTATION(#9643,#9640)!#9639=REPRESENTATION('material name',(#9641),#17410)!#9640=REPRESENTATION('density',(#9636),#17410)!#9641=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic')!#9642=PROPERTY_DEFINITION('material property','material name',#17423)!#9643=PROPERTY_DEFINITION('material property','density of part',#17423)!#9644=DATE_TIME_ROLE('creation_date')!#9645=APPLIED_DATE_AND_TIME_ASSIGNMENT(#9646,#9644,(#17423))!#9646=DATE_AND_TIME(#9647,#9648)!#9647=CALENDAR_DATE(2020,11,9)!#9648=LOCAL_TIME(17,11,41.,#9649)!#9649=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.)!#9650=AXIS2_PLACEMENT_3D('placement',#13216,#10610,#10611)!#9651=AXIS2_PLACEMENT_3D('',#13217,#10612,#10613)!#9652=AXIS2_PLACEMENT_3D('',#13219,#10614,#10615)!#9653=AXIS2_PLACEMENT_3D('',#13222,#10617,#10618)!#9654=AXIS2_PLACEMENT_3D('',#13223,#10619,#10620)!#9655=AXIS2_PLACEMENT_3D('',#13224,#10621,#10622)!#9656=AXIS2_PLACEMENT_3D('',#13231,#10623,#10624)!#9657=AXIS2_PLACEMENT_3D('',#13235,#10625,#10626)!#9658=AXIS2_PLACEMENT_3D('',#13244,#10627,#10628)!#9659=AXIS2_PLACEMENT_3D('',#13245,#10629,#10630)!#9660=AXIS2_PLACEMENT_3D('',#13251,#10631,#10632)!#9661=AXIS2_PLACEMENT_3D('',#13255,#10633,#10634)!#9662=AXIS2_PLACEMENT_3D('',#13264,#10635,#10636)!#9663=AXIS2_PLACEMENT_3D('',#13265,#10637,#10638)!#9664=AXIS2_PLACEMENT_3D('',#13271,#10639,#10640)!#9665=AXIS2_PLACEMENT_3D('',#13275,#10641,#10642)!#9666=AXIS2_PLACEMENT_3D('',#13283,#10643,#10644)!#9667=AXIS2_PLACEMENT_3D('',#13284,#10645,#10646)!#9668=AXIS2_PLACEMENT_3D('',#13290,#10650,#10651)!#9669=AXIS2_PLACEMENT_3D('',#13294,#10654,#10655)!#9670=AXIS2_PLACEMENT_3D('',#13298,#10658,#10659)!#9671=AXIS2_PLACEMENT_3D('',#13302,#10662,#10663)!#9672=AXIS2_PLACEMENT_3D('',#13306,#10666,#10667)!#9673=AXIS2_PLACEMENT_3D('',#13308,#10669,#10670)!#9674=AXIS2_PLACEMENT_3D('',#13309,#10671,#10672)!#9675=AXIS2_PLACEMENT_3D('',#13311,#10673,#10674)!#9676=AXIS2_PLACEMENT_3D('',#13315,#10676,#10677)!#9677=AXIS2_PLACEMENT_3D('',#13316,#10678,#10679)!#9678=AXIS2_PLACEMENT_3D('',#13317,#10680,#10681)!#9679=AXIS2_PLACEMENT_3D('',#13318,#10682,#10683)!#9680=AXIS2_PLACEMENT_3D('',#13325,#10684,#10685)!#9681=AXIS2_PLACEMENT_3D('',#13329,#10686,#10687)!#9682=AXIS2_PLACEMENT_3D('',#13338,#10688,#10689)!#9683=AXIS2_PLACEMENT_3D('',#13339,#10690,#10691)!#9684=AXIS2_PLACEMENT_3D('',#13345,#10692,#10693)!#9685=AXIS2_PLACEMENT_3D('',#13349,#10694,#10695)!#9686=AXIS2_PLACEMENT_3D('',#13358,#10696,#10697)!#9687=AXIS2_PLACEMENT_3D('',#13359,#10698,#10699)!#9688=AXIS2_PLACEMENT_3D('',#13365,#10700,#10701)!#9689=AXIS2_PLACEMENT_3D('',#13369,#10702,#10703)!#9690=AXIS2_PLACEMENT_3D('',#13377,#10704,#10705)!#9691=AXIS2_PLACEMENT_3D('',#13378,#10706,#10707)!#9692=AXIS2_PLACEMENT_3D('',#13384,#10711,#10712)!#9693=AXIS2_PLACEMENT_3D('',#13388,#10715,#10716)!#9694=AXIS2_PLACEMENT_3D('',#13392,#10719,#10720)!#9695=AXIS2_PLACEMENT_3D('',#13396,#10723,#10724)!#9696=AXIS2_PLACEMENT_3D('',#13400,#10727,#10728)!#9697=AXIS2_PLACEMENT_3D('',#13402,#10730,#10731)!#9698=AXIS2_PLACEMENT_3D('',#13403,#10732,#10733)!#9699=AXIS2_PLACEMENT_3D('',#13427,#10739,#10740)!#9700=AXIS2_PLACEMENT_3D('',#13433,#10744,#10745)!#9701=AXIS2_PLACEMENT_3D('',#13439,#10749,#10750)!#9702=AXIS2_PLACEMENT_3D('',#13445,#10754,#10755)!#9703=AXIS2_PLACEMENT_3D('',#13451,#10759,#10760)!#9704=AXIS2_PLACEMENT_3D('',#13457,#10764,#10765)!#9705=AXIS2_PLACEMENT_3D('',#13463,#10769,#10770)!#9706=AXIS2_PLACEMENT_3D('',#13469,#10774,#10775)!#9707=AXIS2_PLACEMENT_3D('',#13475,#10779,#10780)!#9708=AXIS2_PLACEMENT_3D('',#13481,#10784,#10785)!#9709=AXIS2_PLACEMENT_3D('',#13484,#10788,#10789)!#9710=AXIS2_PLACEMENT_3D('',#13485,#10790,#10791)!#9711=AXIS2_PLACEMENT_3D('',#13494,#10796,#10797)!#9712=AXIS2_PLACEMENT_3D('',#13498,#10801,#10802)!#9713=AXIS2_PLACEMENT_3D('',#13504,#10806,#10807)!#9714=AXIS2_PLACEMENT_3D('',#13510,#10811,#10812)!#9715=AXIS2_PLACEMENT_3D('',#13516,#10816,#10817)!#9716=AXIS2_PLACEMENT_3D('',#13522,#10821,#10822)!#9717=AXIS2_PLACEMENT_3D('',#13528,#10826,#10827)!#9718=AXIS2_PLACEMENT_3D('',#13534,#10831,#10832)!#9719=AXIS2_PLACEMENT_3D('',#13540,#10836,#10837)!#9720=AXIS2_PLACEMENT_3D('',#13546,#10841,#10842)!#9721=AXIS2_PLACEMENT_3D('',#13549,#10845,#10846)!#9722=AXIS2_PLACEMENT_3D('',#13550,#10847,#10848)!#9723=AXIS2_PLACEMENT_3D('',#13630,#10852,#10853)!#9724=AXIS2_PLACEMENT_3D('',#13647,#10856,#10857)!#9725=AXIS2_PLACEMENT_3D('',#13663,#10859,#10860)!#9726=AXIS2_PLACEMENT_3D('',#13683,#10862,#10863)!#9727=AXIS2_PLACEMENT_3D('',#13689,#10867,#10868)!#9728=AXIS2_PLACEMENT_3D('',#13695,#10872,#10873)!#9729=AXIS2_PLACEMENT_3D('',#13701,#10877,#10878)!#9730=AXIS2_PLACEMENT_3D('',#13707,#10882,#10883)!#9731=AXIS2_PLACEMENT_3D('',#13713,#10887,#10888)!#9732=AXIS2_PLACEMENT_3D('',#13719,#10892,#10893)!#9733=AXIS2_PLACEMENT_3D('',#13725,#10897,#10898)!#9734=AXIS2_PLACEMENT_3D('',#13731,#10902,#10903)!#9735=AXIS2_PLACEMENT_3D('',#13737,#10907,#10908)!#9736=AXIS2_PLACEMENT_3D('',#13743,#10912,#10913)!#9737=AXIS2_PLACEMENT_3D('',#13822,#10920,#10921)!#9738=AXIS2_PLACEMENT_3D('',#13898,#10927,#10928)!#9739=AXIS2_PLACEMENT_3D('',#13899,#10929,#10930)!#9740=AXIS2_PLACEMENT_3D('',#13905,#10934,#10935)!#9741=AXIS2_PLACEMENT_3D('',#13911,#10939,#10940)!#9742=AXIS2_PLACEMENT_3D('',#13917,#10944,#10945)!#9743=AXIS2_PLACEMENT_3D('',#13923,#10949,#10950)!#9744=AXIS2_PLACEMENT_3D('',#13929,#10954,#10955)!#9745=AXIS2_PLACEMENT_3D('',#14049,#10965,#10966)!#9746=AXIS2_PLACEMENT_3D('',#14055,#10970,#10971)!#9747=AXIS2_PLACEMENT_3D('',#14061,#10975,#10976)!#9748=AXIS2_PLACEMENT_3D('',#14067,#10980,#10981)!#9749=AXIS2_PLACEMENT_3D('',#14073,#10985,#10986)!#9750=AXIS2_PLACEMENT_3D('',#14079,#10990,#10991)!#9751=AXIS2_PLACEMENT_3D('',#14085,#10995,#10996)!#9752=AXIS2_PLACEMENT_3D('',#14164,#11003,#11004)!#9753=AXIS2_PLACEMENT_3D('',#14165,#11005,#11006)!#9754=AXIS2_PLACEMENT_3D('',#14243,#11008,#11009)!#9755=AXIS2_PLACEMENT_3D('',#14250,#11010,#11011)!#9756=AXIS2_PLACEMENT_3D('',#14254,#11012,#11013)!#9757=AXIS2_PLACEMENT_3D('',#14263,#11014,#11015)!#9758=AXIS2_PLACEMENT_3D('',#14264,#11016,#11017)!#9759=AXIS2_PLACEMENT_3D('',#14270,#11018,#11019)!#9760=AXIS2_PLACEMENT_3D('',#14274,#11020,#11021)!#9761=AXIS2_PLACEMENT_3D('',#14279,#11022,#11023)!#9762=AXIS2_PLACEMENT_3D('',#14283,#11024,#11025)!#9763=AXIS2_PLACEMENT_3D('',#14289,#11029,#11030)!#9764=AXIS2_PLACEMENT_3D('',#14293,#11033,#11034)!#9765=AXIS2_PLACEMENT_3D('',#14297,#11037,#11038)!#9766=AXIS2_PLACEMENT_3D('',#14299,#11040,#11041)!#9767=AXIS2_PLACEMENT_3D('',#14300,#11042,#11043)!#9768=AXIS2_PLACEMENT_3D('',#14317,#11052,#11053)!#9769=AXIS2_PLACEMENT_3D('',#14395,#11055,#11056)!#9770=AXIS2_PLACEMENT_3D('',#14402,#11057,#11058)!#9771=AXIS2_PLACEMENT_3D('',#14406,#11059,#11060)!#9772=AXIS2_PLACEMENT_3D('',#14415,#11061,#11062)!#9773=AXIS2_PLACEMENT_3D('',#14416,#11063,#11064)!#9774=AXIS2_PLACEMENT_3D('',#14422,#11065,#11066)!#9775=AXIS2_PLACEMENT_3D('',#14426,#11067,#11068)!#9776=AXIS2_PLACEMENT_3D('',#14431,#11069,#11070)!#9777=AXIS2_PLACEMENT_3D('',#14435,#11071,#11072)!#9778=AXIS2_PLACEMENT_3D('',#14438,#11075,#11076)!#9779=AXIS2_PLACEMENT_3D('',#14440,#11078,#11079)!#9780=AXIS2_PLACEMENT_3D('',#14442,#11081,#11082)!#9781=AXIS2_PLACEMENT_3D('',#14443,#11083,#11084)!#9782=AXIS2_PLACEMENT_3D('',#14444,#11085,#11086)!#9783=AXIS2_PLACEMENT_3D('',#14447,#11087,#11088)!#9784=AXIS2_PLACEMENT_3D('',#14449,#11089,#11090)!#9785=AXIS2_PLACEMENT_3D('',#14450,#11091,#11092)!#9786=AXIS2_PLACEMENT_3D('',#14451,#11093,#11094)!#9787=AXIS2_PLACEMENT_3D('',#14454,#11095,#11096)!#9788=AXIS2_PLACEMENT_3D('',#14455,#11097,#11098)!#9789=AXIS2_PLACEMENT_3D('',#14459,#11100,#11101)!#9790=AXIS2_PLACEMENT_3D('',#14460,#11102,#11103)!#9791=AXIS2_PLACEMENT_3D('',#14461,#11104,#11105)!#9792=AXIS2_PLACEMENT_3D('',#14463,#11107,#11108)!#9793=AXIS2_PLACEMENT_3D('',#14468,#11110,#11111)!#9794=AXIS2_PLACEMENT_3D('',#14472,#11113,#11114)!#9795=AXIS2_PLACEMENT_3D('',#14482,#11120,#11121)!#9796=AXIS2_PLACEMENT_3D('',#14483,#11122,#11123)!#9797=AXIS2_PLACEMENT_3D('',#14485,#11124,#11125)!#9798=AXIS2_PLACEMENT_3D('',#14488,#11127,#11128)!#9799=AXIS2_PLACEMENT_3D('',#14489,#11129,#11130)!#9800=AXIS2_PLACEMENT_3D('',#14492,#11132,#11133)!#9801=AXIS2_PLACEMENT_3D('',#14493,#11134,#11135)!#9802=AXIS2_PLACEMENT_3D('',#14496,#11136,#11137)!#9803=AXIS2_PLACEMENT_3D('',#14497,#11138,#11139)!#9804=AXIS2_PLACEMENT_3D('',#14499,#11141,#11142)!#9805=AXIS2_PLACEMENT_3D('',#14502,#11143,#11144)!#9806=AXIS2_PLACEMENT_3D('',#14503,#11145,#11146)!#9807=AXIS2_PLACEMENT_3D('',#14506,#11148,#11149)!#9808=AXIS2_PLACEMENT_3D('',#14507,#11150,#11151)!#9809=AXIS2_PLACEMENT_3D('',#14511,#11154,#11155)!#9810=AXIS2_PLACEMENT_3D('',#14516,#11159,#11160)!#9811=AXIS2_PLACEMENT_3D('',#14518,#11162,#11163)!#9812=AXIS2_PLACEMENT_3D('',#14519,#11164,#11165)!#9813=AXIS2_PLACEMENT_3D('',#14525,#11169,#11170)!#9814=AXIS2_PLACEMENT_3D('',#14603,#11172,#11173)!#9815=AXIS2_PLACEMENT_3D('',#14610,#11174,#11175)!#9816=AXIS2_PLACEMENT_3D('',#14614,#11176,#11177)!#9817=AXIS2_PLACEMENT_3D('',#14623,#11178,#11179)!#9818=AXIS2_PLACEMENT_3D('',#14624,#11180,#11181)!#9819=AXIS2_PLACEMENT_3D('',#14630,#11182,#11183)!#9820=AXIS2_PLACEMENT_3D('',#14634,#11184,#11185)!#9821=AXIS2_PLACEMENT_3D('',#14639,#11186,#11187)!#9822=AXIS2_PLACEMENT_3D('',#14643,#11188,#11189)!#9823=AXIS2_PLACEMENT_3D('',#14649,#11193,#11194)!#9824=AXIS2_PLACEMENT_3D('',#14653,#11197,#11198)!#9825=AXIS2_PLACEMENT_3D('',#14657,#11201,#11202)!#9826=AXIS2_PLACEMENT_3D('',#14659,#11204,#11205)!#9827=AXIS2_PLACEMENT_3D('',#14660,#11206,#11207)!#9828=AXIS2_PLACEMENT_3D('',#14677,#11216,#11217)!#9829=AXIS2_PLACEMENT_3D('',#14755,#11219,#11220)!#9830=AXIS2_PLACEMENT_3D('',#14762,#11221,#11222)!#9831=AXIS2_PLACEMENT_3D('',#14766,#11223,#11224)!#9832=AXIS2_PLACEMENT_3D('',#14775,#11225,#11226)!#9833=AXIS2_PLACEMENT_3D('',#14776,#11227,#11228)!#9834=AXIS2_PLACEMENT_3D('',#14782,#11229,#11230)!#9835=AXIS2_PLACEMENT_3D('',#14786,#11231,#11232)!#9836=AXIS2_PLACEMENT_3D('',#14791,#11233,#11234)!#9837=AXIS2_PLACEMENT_3D('',#14795,#11235,#11236)!#9838=AXIS2_PLACEMENT_3D('',#14798,#11239,#11240)!#9839=AXIS2_PLACEMENT_3D('',#14800,#11242,#11243)!#9840=AXIS2_PLACEMENT_3D('',#14802,#11245,#11246)!#9841=AXIS2_PLACEMENT_3D('',#14803,#11247,#11248)!#9842=AXIS2_PLACEMENT_3D('',#14804,#11249,#11250)!#9843=AXIS2_PLACEMENT_3D('',#14807,#11251,#11252)!#9844=AXIS2_PLACEMENT_3D('',#14809,#11253,#11254)!#9845=AXIS2_PLACEMENT_3D('',#14810,#11255,#11256)!#9846=AXIS2_PLACEMENT_3D('',#14811,#11257,#11258)!#9847=AXIS2_PLACEMENT_3D('',#14814,#11259,#11260)!#9848=AXIS2_PLACEMENT_3D('',#14815,#11261,#11262)!#9849=AXIS2_PLACEMENT_3D('',#14819,#11264,#11265)!#9850=AXIS2_PLACEMENT_3D('',#14820,#11266,#11267)!#9851=AXIS2_PLACEMENT_3D('',#14821,#11268,#11269)!#9852=AXIS2_PLACEMENT_3D('',#14823,#11271,#11272)!#9853=AXIS2_PLACEMENT_3D('',#14825,#11273,#11274)!#9854=AXIS2_PLACEMENT_3D('',#14828,#11276,#11277)!#9855=AXIS2_PLACEMENT_3D('',#14829,#11278,#11279)!#9856=AXIS2_PLACEMENT_3D('',#14831,#11280,#11281)!#9857=AXIS2_PLACEMENT_3D('',#14834,#11283,#11284)!#9858=AXIS2_PLACEMENT_3D('',#14835,#11285,#11286)!#9859=AXIS2_PLACEMENT_3D('',#14838,#11287,#11288)!#9860=AXIS2_PLACEMENT_3D('',#14839,#11289,#11290)!#9861=AXIS2_PLACEMENT_3D('',#14842,#11292,#11293)!#9862=AXIS2_PLACEMENT_3D('',#14843,#11294,#11295)!#9863=AXIS2_PLACEMENT_3D('',#14846,#11297,#11298)!#9864=AXIS2_PLACEMENT_3D('',#14847,#11299,#11300)!#9865=AXIS2_PLACEMENT_3D('',#14853,#11304,#11305)!#9866=AXIS2_PLACEMENT_3D('',#14857,#11308,#11309)!#9867=AXIS2_PLACEMENT_3D('',#14865,#11314,#11315)!#9868=AXIS2_PLACEMENT_3D('',#14867,#11317,#11318)!#9869=AXIS2_PLACEMENT_3D('',#14873,#11321,#11322)!#9870=AXIS2_PLACEMENT_3D('',#14876,#11324,#11325)!#9871=AXIS2_PLACEMENT_3D('',#14877,#11326,#11327)!#9872=AXIS2_PLACEMENT_3D('',#14878,#11328,#11329)!#9873=AXIS2_PLACEMENT_3D('',#14881,#11330,#11331)!#9874=AXIS2_PLACEMENT_3D('',#14882,#11332,#11333)!#9875=AXIS2_PLACEMENT_3D('',#14883,#11334,#11335)!#9876=AXIS2_PLACEMENT_3D('',#14886,#11336,#11337)!#9877=AXIS2_PLACEMENT_3D('',#14888,#11338,#11339)!#9878=AXIS2_PLACEMENT_3D('',#14890,#11340,#11341)!#9879=AXIS2_PLACEMENT_3D('',#14891,#11342,#11343)!#9880=AXIS2_PLACEMENT_3D('',#14892,#11344,#11345)!#9881=AXIS2_PLACEMENT_3D('',#14893,#11346,#11347)!#9882=AXIS2_PLACEMENT_3D('',#14897,#11349,#11350)!#9883=AXIS2_PLACEMENT_3D('',#14898,#11351,#11352)!#9884=AXIS2_PLACEMENT_3D('',#14899,#11353,#11354)!#9885=AXIS2_PLACEMENT_3D('',#14902,#11355,#11356)!#9886=AXIS2_PLACEMENT_3D('',#14903,#11357,#11358)!#9887=AXIS2_PLACEMENT_3D('',#14905,#11360,#11361)!#9888=AXIS2_PLACEMENT_3D('',#14908,#11362,#11363)!#9889=AXIS2_PLACEMENT_3D('',#14910,#11364,#11365)!#9890=AXIS2_PLACEMENT_3D('',#14912,#11366,#11367)!#9891=AXIS2_PLACEMENT_3D('',#14913,#11368,#11369)!#9892=AXIS2_PLACEMENT_3D('',#14914,#11370,#11371)!#9893=AXIS2_PLACEMENT_3D('',#14915,#11372,#11373)!#9894=AXIS2_PLACEMENT_3D('',#14918,#11374,#11375)!#9895=AXIS2_PLACEMENT_3D('',#14920,#11376,#11377)!#9896=AXIS2_PLACEMENT_3D('',#14922,#11379,#11380)!#9897=AXIS2_PLACEMENT_3D('',#14923,#11381,#11382)!#9898=AXIS2_PLACEMENT_3D('',#14926,#11383,#11384)!#9899=AXIS2_PLACEMENT_3D('',#14927,#11385,#11386)!#9900=AXIS2_PLACEMENT_3D('',#14928,#11387,#11388)!#9901=AXIS2_PLACEMENT_3D('',#14931,#11389,#11390)!#9902=AXIS2_PLACEMENT_3D('',#14933,#11391,#11392)!#9903=AXIS2_PLACEMENT_3D('',#14935,#11393,#11394)!#9904=AXIS2_PLACEMENT_3D('',#14936,#11395,#11396)!#9905=AXIS2_PLACEMENT_3D('',#14937,#11397,#11398)!#9906=AXIS2_PLACEMENT_3D('',#14938,#11399,#11400)!#9907=AXIS2_PLACEMENT_3D('',#14941,#11401,#11402)!#9908=AXIS2_PLACEMENT_3D('',#14942,#11403,#11404)!#9909=AXIS2_PLACEMENT_3D('',#14943,#11405,#11406)!#9910=AXIS2_PLACEMENT_3D('',#14946,#11407,#11408)!#9911=AXIS2_PLACEMENT_3D('',#14948,#11409,#11410)!#9912=AXIS2_PLACEMENT_3D('',#14950,#11412,#11413)!#9913=AXIS2_PLACEMENT_3D('',#14951,#11414,#11415)!#9914=AXIS2_PLACEMENT_3D('',#14952,#11416,#11417)!#9915=AXIS2_PLACEMENT_3D('',#14953,#11418,#11419)!#9916=AXIS2_PLACEMENT_3D('',#14955,#11421,#11422)!#9917=AXIS2_PLACEMENT_3D('',#14957,#11424,#11425)!#9918=AXIS2_PLACEMENT_3D('',#14960,#11426,#11427)!#9919=AXIS2_PLACEMENT_3D('',#14961,#11428,#11429)!#9920=AXIS2_PLACEMENT_3D('',#14964,#11430,#11431)!#9921=AXIS2_PLACEMENT_3D('',#14965,#11432,#11433)!#9922=AXIS2_PLACEMENT_3D('',#14966,#11434,#11435)!#9923=AXIS2_PLACEMENT_3D('',#14969,#11436,#11437)!#9924=AXIS2_PLACEMENT_3D('',#14970,#11438,#11439)!#9925=AXIS2_PLACEMENT_3D('',#14971,#11440,#11441)!#9926=AXIS2_PLACEMENT_3D('',#14974,#11442,#11443)!#9927=AXIS2_PLACEMENT_3D('',#14976,#11444,#11445)!#9928=AXIS2_PLACEMENT_3D('',#14978,#11446,#11447)!#9929=AXIS2_PLACEMENT_3D('',#14979,#11448,#11449)!#9930=AXIS2_PLACEMENT_3D('',#14980,#11450,#11451)!#9931=AXIS2_PLACEMENT_3D('',#14981,#11452,#11453)!#9932=AXIS2_PLACEMENT_3D('',#14985,#11455,#11456)!#9933=AXIS2_PLACEMENT_3D('',#14986,#11457,#11458)!#9934=AXIS2_PLACEMENT_3D('',#14987,#11459,#11460)!#9935=AXIS2_PLACEMENT_3D('',#14989,#11462,#11463)!#9936=AXIS2_PLACEMENT_3D('',#14992,#11464,#11465)!#9937=AXIS2_PLACEMENT_3D('',#14994,#11466,#11467)!#9938=AXIS2_PLACEMENT_3D('',#14996,#11468,#11469)!#9939=AXIS2_PLACEMENT_3D('',#14997,#11470,#11471)!#9940=AXIS2_PLACEMENT_3D('',#14998,#11472,#11473)!#9941=AXIS2_PLACEMENT_3D('',#14999,#11474,#11475)!#9942=AXIS2_PLACEMENT_3D('',#15002,#11476,#11477)!#9943=AXIS2_PLACEMENT_3D('',#15004,#11478,#11479)!#9944=AXIS2_PLACEMENT_3D('',#15006,#11481,#11482)!#9945=AXIS2_PLACEMENT_3D('',#15007,#11483,#11484)!#9946=AXIS2_PLACEMENT_3D('',#15010,#11485,#11486)!#9947=AXIS2_PLACEMENT_3D('',#15011,#11487,#11488)!#9948=AXIS2_PLACEMENT_3D('',#15012,#11489,#11490)!#9949=AXIS2_PLACEMENT_3D('',#15015,#11491,#11492)!#9950=AXIS2_PLACEMENT_3D('',#15017,#11493,#11494)!#9951=AXIS2_PLACEMENT_3D('',#15019,#11495,#11496)!#9952=AXIS2_PLACEMENT_3D('',#15020,#11497,#11498)!#9953=AXIS2_PLACEMENT_3D('',#15021,#11499,#11500)!#9954=AXIS2_PLACEMENT_3D('',#15022,#11501,#11502)!#9955=AXIS2_PLACEMENT_3D('',#15025,#11503,#11504)!#9956=AXIS2_PLACEMENT_3D('',#15026,#11505,#11506)!#9957=AXIS2_PLACEMENT_3D('',#15027,#11507,#11508)!#9958=AXIS2_PLACEMENT_3D('',#15030,#11509,#11510)!#9959=AXIS2_PLACEMENT_3D('',#15032,#11511,#11512)!#9960=AXIS2_PLACEMENT_3D('',#15034,#11514,#11515)!#9961=AXIS2_PLACEMENT_3D('',#15035,#11516,#11517)!#9962=AXIS2_PLACEMENT_3D('',#15036,#11518,#11519)!#9963=AXIS2_PLACEMENT_3D('',#15037,#11520,#11521)!#9964=AXIS2_PLACEMENT_3D('',#15039,#11523,#11524)!#9965=AXIS2_PLACEMENT_3D('',#15041,#11526,#11527)!#9966=AXIS2_PLACEMENT_3D('',#15048,#11528,#11529)!#9967=AXIS2_PLACEMENT_3D('',#15052,#11530,#11531)!#9968=AXIS2_PLACEMENT_3D('',#15061,#11532,#11533)!#9969=AXIS2_PLACEMENT_3D('',#15062,#11534,#11535)!#9970=AXIS2_PLACEMENT_3D('',#15068,#11536,#11537)!#9971=AXIS2_PLACEMENT_3D('',#15072,#11538,#11539)!#9972=AXIS2_PLACEMENT_3D('',#15081,#11540,#11541)!#9973=AXIS2_PLACEMENT_3D('',#15082,#11542,#11543)!#9974=AXIS2_PLACEMENT_3D('',#15088,#11544,#11545)!#9975=AXIS2_PLACEMENT_3D('',#15092,#11546,#11547)!#9976=AXIS2_PLACEMENT_3D('',#15100,#11548,#11549)!#9977=AXIS2_PLACEMENT_3D('',#15101,#11550,#11551)!#9978=AXIS2_PLACEMENT_3D('',#15107,#11555,#11556)!#9979=AXIS2_PLACEMENT_3D('',#15111,#11559,#11560)!#9980=AXIS2_PLACEMENT_3D('',#15115,#11563,#11564)!#9981=AXIS2_PLACEMENT_3D('',#15119,#11567,#11568)!#9982=AXIS2_PLACEMENT_3D('',#15123,#11571,#11572)!#9983=AXIS2_PLACEMENT_3D('',#15125,#11574,#11575)!#9984=AXIS2_PLACEMENT_3D('',#15126,#11576,#11577)!#9985=AXIS2_PLACEMENT_3D('',#15133,#11581,#11582)!#9986=AXIS2_PLACEMENT_3D('',#15145,#11588,#11589)!#9987=AXIS2_PLACEMENT_3D('',#15147,#11590,#11591)!#9988=AXIS2_PLACEMENT_3D('',#15148,#11592,#11593)!#9989=AXIS2_PLACEMENT_3D('',#15155,#11597,#11598)!#9990=AXIS2_PLACEMENT_3D('',#15164,#11603,#11604)!#9991=AXIS2_PLACEMENT_3D('',#15204,#11606,#11607)!#9992=AXIS2_PLACEMENT_3D('',#15213,#11612,#11613)!#9993=AXIS2_PLACEMENT_3D('',#15219,#11617,#11618)!#9994=AXIS2_PLACEMENT_3D('',#15225,#11622,#11623)!#9995=AXIS2_PLACEMENT_3D('',#15231,#11627,#11628)!#9996=AXIS2_PLACEMENT_3D('',#15237,#11632,#11633)!#9997=AXIS2_PLACEMENT_3D('',#15240,#11636,#11637)!#9998=AXIS2_PLACEMENT_3D('',#15243,#11640,#11641)!#9999=AXIS2_PLACEMENT_3D('',#15246,#11644,#11645)!#10000=AXIS2_PLACEMENT_3D('',#15249,#11648,#11649)!#10001=AXIS2_PLACEMENT_3D('',#15250,#11650,#11651)!#10002=AXIS2_PLACEMENT_3D('',#15253,#11654,#11655)!#10003=AXIS2_PLACEMENT_3D('',#15256,#11658,#11659)!#10004=AXIS2_PLACEMENT_3D('',#15257,#11660,#11661)!#10005=AXIS2_PLACEMENT_3D('',#15263,#11665,#11666)!#10006=AXIS2_PLACEMENT_3D('',#15269,#11670,#11671)!#10007=AXIS2_PLACEMENT_3D('',#15273,#11674,#11675)!#10008=AXIS2_PLACEMENT_3D('',#15277,#11678,#11679)!#10009=AXIS2_PLACEMENT_3D('',#15280,#11680,#11681)!#10010=AXIS2_PLACEMENT_3D('',#15284,#11683,#11684)!#10011=AXIS2_PLACEMENT_3D('',#15286,#11686,#11687)!#10012=AXIS2_PLACEMENT_3D('',#15289,#11688,#11689)!#10013=AXIS2_PLACEMENT_3D('',#15293,#11691,#11692)!#10014=AXIS2_PLACEMENT_3D('',#15295,#11694,#11695)!#10015=AXIS2_PLACEMENT_3D('',#15327,#11698,#11699)!#10016=AXIS2_PLACEMENT_3D('',#15329,#11700,#11701)!#10017=AXIS2_PLACEMENT_3D('',#15331,#11703,#11704)!#10018=AXIS2_PLACEMENT_3D('',#15333,#11705,#11706)!#10019=AXIS2_PLACEMENT_3D('',#15335,#11708,#11709)!#10020=AXIS2_PLACEMENT_3D('',#15339,#11711,#11712)!#10021=AXIS2_PLACEMENT_3D('',#15343,#11714,#11715)!#10022=AXIS2_PLACEMENT_3D('',#15345,#11717,#11718)!#10023=AXIS2_PLACEMENT_3D('',#15347,#11720,#11721)!#10024=AXIS2_PLACEMENT_3D('',#15348,#11722,#11723)!#10025=AXIS2_PLACEMENT_3D('',#15350,#11725,#11726)!#10026=AXIS2_PLACEMENT_3D('',#15352,#11728,#11729)!#10027=AXIS2_PLACEMENT_3D('',#15353,#11730,#11731)!#10028=AXIS2_PLACEMENT_3D('',#15355,#11733,#11734)!#10029=AXIS2_PLACEMENT_3D('',#15358,#11737,#11738)!#10030=AXIS2_PLACEMENT_3D('',#15359,#11739,#11740)!#10031=AXIS2_PLACEMENT_3D('',#15362,#11741,#11742)!#10032=AXIS2_PLACEMENT_3D('',#15367,#11745,#11746)!#10033=AXIS2_PLACEMENT_3D('',#15368,#11747,#11748)!#10034=AXIS2_PLACEMENT_3D('',#15374,#11752,#11753)!#10035=AXIS2_PLACEMENT_3D('',#15378,#11756,#11757)!#10036=AXIS2_PLACEMENT_3D('',#15380,#11758,#11759)!#10037=AXIS2_PLACEMENT_3D('',#15382,#11761,#11762)!#10038=AXIS2_PLACEMENT_3D('',#15383,#11763,#11764)!#10039=AXIS2_PLACEMENT_3D('',#15384,#11765,#11766)!#10040=AXIS2_PLACEMENT_3D('',#15386,#11767,#11768)!#10041=AXIS2_PLACEMENT_3D('',#15390,#11770,#11771)!#10042=AXIS2_PLACEMENT_3D('',#15394,#11773,#11774)!#10043=AXIS2_PLACEMENT_3D('',#15397,#11776,#11777)!#10044=AXIS2_PLACEMENT_3D('',#15398,#11778,#11779)!#10045=AXIS2_PLACEMENT_3D('',#15400,#11780,#11781)!#10046=AXIS2_PLACEMENT_3D('',#15403,#11783,#11784)!#10047=AXIS2_PLACEMENT_3D('',#15404,#11785,#11786)!#10048=AXIS2_PLACEMENT_3D('',#15406,#11787,#11788)!#10049=AXIS2_PLACEMENT_3D('',#15409,#11790,#11791)!#10050=AXIS2_PLACEMENT_3D('',#15410,#11792,#11793)!#10051=AXIS2_PLACEMENT_3D('',#15415,#11795,#11796)!#10052=AXIS2_PLACEMENT_3D('',#15418,#11798,#11799)!#10053=AXIS2_PLACEMENT_3D('',#15421,#11801,#11802)!#10054=AXIS2_PLACEMENT_3D('',#15424,#11804,#11805)!#10055=AXIS2_PLACEMENT_3D('',#15425,#11806,#11807)!#10056=AXIS2_PLACEMENT_3D('',#15427,#11808,#11809)!#10057=AXIS2_PLACEMENT_3D('',#15431,#11812,#11813)!#10058=AXIS2_PLACEMENT_3D('',#15432,#11814,#11815)!#10059=AXIS2_PLACEMENT_3D('',#15434,#11816,#11817)!#10060=AXIS2_PLACEMENT_3D('',#15435,#11818,#11819)!#10061=AXIS2_PLACEMENT_3D('',#15439,#11822,#11823)!#10062=AXIS2_PLACEMENT_3D('',#15441,#11824,#11825)!#10063=AXIS2_PLACEMENT_3D('',#15445,#11828,#11829)!#10064=AXIS2_PLACEMENT_3D('',#15451,#11833,#11834)!#10065=AXIS2_PLACEMENT_3D('',#15453,#11836,#11837)!#10066=AXIS2_PLACEMENT_3D('',#15454,#11838,#11839)!#10067=AXIS2_PLACEMENT_3D('',#15455,#11840,#11841)!#10068=AXIS2_PLACEMENT_3D('',#15457,#11843,#11844)!#10069=AXIS2_PLACEMENT_3D('',#15459,#11846,#11847)!#10070=AXIS2_PLACEMENT_3D('',#15460,#11848,#11849)!#10071=AXIS2_PLACEMENT_3D('',#15462,#11850,#11851)!#10072=AXIS2_PLACEMENT_3D('',#15465,#11853,#11854)!#10073=AXIS2_PLACEMENT_3D('',#15466,#11855,#11856)!#10074=AXIS2_PLACEMENT_3D('',#15516,#11863,#11864)!#10075=AXIS2_PLACEMENT_3D('',#15519,#11865,#11866)!#10076=AXIS2_PLACEMENT_3D('',#15522,#11868,#11869)!#10077=AXIS2_PLACEMENT_3D('',#15523,#11870,#11871)!#10078=AXIS2_PLACEMENT_3D('',#15524,#11872,#11873)!#10079=AXIS2_PLACEMENT_3D('',#15526,#11874,#11875)!#10080=AXIS2_PLACEMENT_3D('',#15528,#11876,#11877)!#10081=AXIS2_PLACEMENT_3D('',#15531,#11879,#11880)!#10082=AXIS2_PLACEMENT_3D('',#15532,#11881,#11882)!#10083=AXIS2_PLACEMENT_3D('',#15576,#11887,#11888)!#10084=AXIS2_PLACEMENT_3D('',#15577,#11889,#11890)!#10085=AXIS2_PLACEMENT_3D('',#15578,#11891,#11892)!#10086=AXIS2_PLACEMENT_3D('',#15579,#11893,#11894)!#10087=AXIS2_PLACEMENT_3D('',#15580,#11895,#11896)!#10088=AXIS2_PLACEMENT_3D('',#15581,#11897,#11898)!#10089=AXIS2_PLACEMENT_3D('',#15582,#11899,#11900)!#10090=AXIS2_PLACEMENT_3D('',#15632,#11907,#11908)!#10091=AXIS2_PLACEMENT_3D('',#15634,#11909,#11910)!#10092=AXIS2_PLACEMENT_3D('',#15637,#11912,#11913)!#10093=AXIS2_PLACEMENT_3D('',#15638,#11914,#11915)!#10094=AXIS2_PLACEMENT_3D('',#15640,#11916,#11917)!#10095=AXIS2_PLACEMENT_3D('',#15642,#11918,#11919)!#10096=AXIS2_PLACEMENT_3D('',#15644,#11921,#11922)!#10097=AXIS2_PLACEMENT_3D('',#15688,#11927,#11928)!#10098=AXIS2_PLACEMENT_3D('',#15689,#11929,#11930)!#10099=AXIS2_PLACEMENT_3D('',#15690,#11931,#11932)!#10100=AXIS2_PLACEMENT_3D('',#15691,#11933,#11934)!#10101=AXIS2_PLACEMENT_3D('',#15692,#11935,#11936)!#10102=AXIS2_PLACEMENT_3D('',#15693,#11937,#11938)!#10103=AXIS2_PLACEMENT_3D('',#15694,#11939,#11940)!#10104=AXIS2_PLACEMENT_3D('',#15695,#11941,#11942)!#10105=AXIS2_PLACEMENT_3D('',#15696,#11943,#11944)!#10106=AXIS2_PLACEMENT_3D('',#15697,#11945,#11946)!#10107=AXIS2_PLACEMENT_3D('',#15698,#11947,#11948)!#10108=AXIS2_PLACEMENT_3D('',#15699,#11949,#11950)!#10109=AXIS2_PLACEMENT_3D('',#15700,#11951,#11952)!#10110=AXIS2_PLACEMENT_3D('',#15704,#11954,#11955)!#10111=AXIS2_PLACEMENT_3D('',#15706,#11956,#11957)!#10112=AXIS2_PLACEMENT_3D('',#15707,#11958,#11959)!#10113=AXIS2_PLACEMENT_3D('',#15708,#11960,#11961)!#10114=AXIS2_PLACEMENT_3D('',#15709,#11962,#11963)!#10115=AXIS2_PLACEMENT_3D('',#15710,#11964,#11965)!#10116=AXIS2_PLACEMENT_3D('',#15711,#11966,#11967)!#10117=AXIS2_PLACEMENT_3D('',#15712,#11968,#11969)!#10118=AXIS2_PLACEMENT_3D('',#15713,#11970,#11971)!#10119=AXIS2_PLACEMENT_3D('',#15714,#11972,#11973)!#10120=AXIS2_PLACEMENT_3D('',#15715,#11974,#11975)!#10121=AXIS2_PLACEMENT_3D('',#15716,#11976,#11977)!#10122=AXIS2_PLACEMENT_3D('',#15717,#11978,#11979)!#10123=AXIS2_PLACEMENT_3D('',#15718,#11980,#11981)!#10124=AXIS2_PLACEMENT_3D('',#15719,#11982,#11983)!#10125=AXIS2_PLACEMENT_3D('',#15720,#11984,#11985)!#10126=AXIS2_PLACEMENT_3D('',#15723,#11986,#11987)!#10127=AXIS2_PLACEMENT_3D('',#15725,#11988,#11989)!#10128=AXIS2_PLACEMENT_3D('',#15727,#11990,#11991)!#10129=AXIS2_PLACEMENT_3D('',#15729,#11992,#11993)!#10130=AXIS2_PLACEMENT_3D('',#15730,#11994,#11995)!#10131=AXIS2_PLACEMENT_3D('',#15732,#11996,#11997)!#10132=AXIS2_PLACEMENT_3D('',#15733,#11998,#11999)!#10133=AXIS2_PLACEMENT_3D('',#15734,#12000,#12001)!#10134=AXIS2_PLACEMENT_3D('',#15737,#12003,#12004)!#10135=AXIS2_PLACEMENT_3D('',#15738,#12005,#12006)!#10136=AXIS2_PLACEMENT_3D('',#15741,#12007,#12008)!#10137=AXIS2_PLACEMENT_3D('',#15743,#12009,#12010)!#10138=AXIS2_PLACEMENT_3D('',#15744,#12011,#12012)!#10139=AXIS2_PLACEMENT_3D('',#15745,#12013,#12014)!#10140=AXIS2_PLACEMENT_3D('',#15748,#12015,#12016)!#10141=AXIS2_PLACEMENT_3D('',#15749,#12017,#12018)!#10142=AXIS2_PLACEMENT_3D('',#15753,#12020,#12021)!#10143=AXIS2_PLACEMENT_3D('',#15755,#12022,#12023)!#10144=AXIS2_PLACEMENT_3D('',#15756,#12024,#12025)!#10145=AXIS2_PLACEMENT_3D('',#15757,#12026,#12027)!#10146=AXIS2_PLACEMENT_3D('',#15760,#12028,#12029)!#10147=AXIS2_PLACEMENT_3D('',#15761,#12030,#12031)!#10148=AXIS2_PLACEMENT_3D('',#15763,#12032,#12033)!#10149=AXIS2_PLACEMENT_3D('',#15764,#12034,#12035)!#10150=AXIS2_PLACEMENT_3D('',#15765,#12036,#12037)!#10151=AXIS2_PLACEMENT_3D('',#15769,#12039,#12040)!#10152=AXIS2_PLACEMENT_3D('',#15787,#12041,#12042)!#10153=AXIS2_PLACEMENT_3D('',#15788,#12043,#12044)!#10154=AXIS2_PLACEMENT_3D('',#15789,#12045,#12046)!#10155=AXIS2_PLACEMENT_3D('',#15790,#12047,#12048)!#10156=AXIS2_PLACEMENT_3D('',#15792,#12049,#12050)!#10157=AXIS2_PLACEMENT_3D('',#15794,#12051,#12052)!#10158=AXIS2_PLACEMENT_3D('',#15796,#12053,#12054)!#10159=AXIS2_PLACEMENT_3D('',#15812,#12055,#12056)!#10160=AXIS2_PLACEMENT_3D('',#15813,#12057,#12058)!#10161=AXIS2_PLACEMENT_3D('',#15865,#12061,#12062)!#10162=AXIS2_PLACEMENT_3D('',#15868,#12063,#12064)!#10163=AXIS2_PLACEMENT_3D('',#15869,#12065,#12066)!#10164=AXIS2_PLACEMENT_3D('',#15870,#12067,#12068)!#10165=AXIS2_PLACEMENT_3D('',#15874,#12070,#12071)!#10166=AXIS2_PLACEMENT_3D('',#15875,#12072,#12073)!#10167=AXIS2_PLACEMENT_3D('',#15876,#12074,#12075)!#10168=AXIS2_PLACEMENT_3D('',#15877,#12076,#12077)!#10169=AXIS2_PLACEMENT_3D('',#15878,#12078,#12079)!#10170=AXIS2_PLACEMENT_3D('',#15880,#12081,#12082)!#10171=AXIS2_PLACEMENT_3D('',#15884,#12084,#12085)!#10172=AXIS2_PLACEMENT_3D('',#15886,#12086,#12087)!#10173=AXIS2_PLACEMENT_3D('',#15887,#12088,#12089)!#10174=AXIS2_PLACEMENT_3D('',#15888,#12090,#12091)!#10175=AXIS2_PLACEMENT_3D('',#15891,#12092,#12093)!#10176=AXIS2_PLACEMENT_3D('',#15892,#12094,#12095)!#10177=AXIS2_PLACEMENT_3D('',#15893,#12096,#12097)!#10178=AXIS2_PLACEMENT_3D('',#15894,#12098,#12099)!#10179=AXIS2_PLACEMENT_3D('',#15897,#12100,#12101)!#10180=AXIS2_PLACEMENT_3D('',#15898,#12102,#12103)!#10181=AXIS2_PLACEMENT_3D('',#15899,#12104,#12105)!#10182=AXIS2_PLACEMENT_3D('',#15902,#12106,#12107)!#10183=AXIS2_PLACEMENT_3D('',#15903,#12108,#12109)!#10184=AXIS2_PLACEMENT_3D('',#15905,#12110,#12111)!#10185=AXIS2_PLACEMENT_3D('',#15906,#12112,#12113)!#10186=AXIS2_PLACEMENT_3D('',#15907,#12114,#12115)!#10187=AXIS2_PLACEMENT_3D('',#15910,#12116,#12117)!#10188=AXIS2_PLACEMENT_3D('',#15912,#12118,#12119)!#10189=AXIS2_PLACEMENT_3D('',#15914,#12121,#12122)!#10190=AXIS2_PLACEMENT_3D('',#15915,#12123,#12124)!#10191=AXIS2_PLACEMENT_3D('',#15917,#12125,#12126)!#10192=AXIS2_PLACEMENT_3D('',#15919,#12127,#12128)!#10193=AXIS2_PLACEMENT_3D('',#15920,#12129,#12130)!#10194=AXIS2_PLACEMENT_3D('',#15921,#12131,#12132)!#10195=AXIS2_PLACEMENT_3D('',#15924,#12133,#12134)!#10196=AXIS2_PLACEMENT_3D('',#15925,#12135,#12136)!#10197=AXIS2_PLACEMENT_3D('',#15926,#12137,#12138)!#10198=AXIS2_PLACEMENT_3D('',#15930,#12140,#12141)!#10199=AXIS2_PLACEMENT_3D('',#15936,#12142,#12143)!#10200=AXIS2_PLACEMENT_3D('',#15942,#12144,#12145)!#10201=AXIS2_PLACEMENT_3D('',#15948,#12146,#12147)!#10202=AXIS2_PLACEMENT_3D('',#15953,#12148,#12149)!#10203=AXIS2_PLACEMENT_3D('',#15954,#12150,#12151)!#10204=AXIS2_PLACEMENT_3D('',#15957,#12152,#12153)!#10205=AXIS2_PLACEMENT_3D('',#15959,#12154,#12155)!#10206=AXIS2_PLACEMENT_3D('',#15961,#12157,#12158)!#10207=AXIS2_PLACEMENT_3D('',#15962,#12159,#12160)!#10208=AXIS2_PLACEMENT_3D('',#15966,#12162,#12163)!#10209=AXIS2_PLACEMENT_3D('',#15968,#12164,#12165)!#10210=AXIS2_PLACEMENT_3D('',#15969,#12166,#12167)!#10211=AXIS2_PLACEMENT_3D('',#15970,#12168,#12169)!#10212=AXIS2_PLACEMENT_3D('',#15971,#12170,#12171)!#10213=AXIS2_PLACEMENT_3D('',#15972,#12172,#12173)!#10214=AXIS2_PLACEMENT_3D('',#15976,#12175,#12176)!#10215=AXIS2_PLACEMENT_3D('',#15979,#12178,#12179)!#10216=AXIS2_PLACEMENT_3D('',#15984,#12182,#12183)!#10217=AXIS2_PLACEMENT_3D('',#15986,#12185,#12186)!#10218=AXIS2_PLACEMENT_3D('',#16024,#12191,#12192)!#10219=AXIS2_PLACEMENT_3D('',#16026,#12193,#12194)!#10220=AXIS2_PLACEMENT_3D('',#16028,#12195,#12196)!#10221=AXIS2_PLACEMENT_3D('',#16030,#12197,#12198)!#10222=AXIS2_PLACEMENT_3D('',#16042,#12199,#12200)!#10223=AXIS2_PLACEMENT_3D('',#16043,#12201,#12202)!#10224=AXIS2_PLACEMENT_3D('',#16045,#12203,#12204)!#10225=AXIS2_PLACEMENT_3D('',#16058,#12205,#12206)!#10226=AXIS2_PLACEMENT_3D('',#16060,#12207,#12208)!#10227=AXIS2_PLACEMENT_3D('',#16061,#12209,#12210)!#10228=AXIS2_PLACEMENT_3D('',#16062,#12211,#12212)!#10229=AXIS2_PLACEMENT_3D('',#16067,#12213,#12214)!#10230=AXIS2_PLACEMENT_3D('',#16068,#12215,#12216)!#10231=AXIS2_PLACEMENT_3D('',#16070,#12218,#12219)!#10232=AXIS2_PLACEMENT_3D('',#16075,#12221,#12222)!#10233=AXIS2_PLACEMENT_3D('',#16076,#12223,#12224)!#10234=AXIS2_PLACEMENT_3D('',#16090,#12225,#12226)!#10235=AXIS2_PLACEMENT_3D('',#16092,#12227,#12228)!#10236=AXIS2_PLACEMENT_3D('',#16094,#12229,#12230)!#10237=AXIS2_PLACEMENT_3D('',#16096,#12231,#12232)!#10238=AXIS2_PLACEMENT_3D('',#16108,#12233,#12234)!#10239=AXIS2_PLACEMENT_3D('',#16109,#12235,#12236)!#10240=AXIS2_PLACEMENT_3D('',#16116,#12237,#12238)!#10241=AXIS2_PLACEMENT_3D('',#16135,#12241,#12242)!#10242=AXIS2_PLACEMENT_3D('',#16141,#12243,#12244)!#10243=AXIS2_PLACEMENT_3D('',#16142,#12245,#12246)!#10244=AXIS2_PLACEMENT_3D('',#16143,#12247,#12248)!#10245=AXIS2_PLACEMENT_3D('',#16156,#12249,#12250)!#10246=AXIS2_PLACEMENT_3D('',#16158,#12251,#12252)!#10247=AXIS2_PLACEMENT_3D('',#16160,#12253,#12254)!#10248=AXIS2_PLACEMENT_3D('',#16161,#12255,#12256)!#10249=AXIS2_PLACEMENT_3D('',#16162,#12257,#12258)!#10250=AXIS2_PLACEMENT_3D('',#16164,#12260,#12261)!#10251=AXIS2_PLACEMENT_3D('',#16166,#12263,#12264)!#10252=AXIS2_PLACEMENT_3D('',#16168,#12266,#12267)!#10253=AXIS2_PLACEMENT_3D('',#16177,#12272,#12273)!#10254=AXIS2_PLACEMENT_3D('',#16181,#12277,#12278)!#10255=AXIS2_PLACEMENT_3D('',#16184,#12279,#12280)!#10256=AXIS2_PLACEMENT_3D('',#16188,#12282,#12283)!#10257=AXIS2_PLACEMENT_3D('',#16191,#12285,#12286)!#10258=AXIS2_PLACEMENT_3D('',#16193,#12287,#12288)!#10259=AXIS2_PLACEMENT_3D('',#16195,#12290,#12291)!#10260=AXIS2_PLACEMENT_3D('',#16196,#12292,#12293)!#10261=AXIS2_PLACEMENT_3D('',#16198,#12294,#12295)!#10262=AXIS2_PLACEMENT_3D('',#16202,#12298,#12299)!#10263=AXIS2_PLACEMENT_3D('',#16208,#12303,#12304)!#10264=AXIS2_PLACEMENT_3D('',#16210,#12306,#12307)!#10265=AXIS2_PLACEMENT_3D('',#16211,#12308,#12309)!#10266=AXIS2_PLACEMENT_3D('',#16212,#12310,#12311)!#10267=AXIS2_PLACEMENT_3D('',#16213,#12312,#12313)!#10268=AXIS2_PLACEMENT_3D('',#16214,#12314,#12315)!#10269=AXIS2_PLACEMENT_3D('',#16227,#12322,#12323)!#10270=AXIS2_PLACEMENT_3D('',#16228,#12324,#12325)!#10271=AXIS2_PLACEMENT_3D('',#16229,#12326,#12327)!#10272=AXIS2_PLACEMENT_3D('',#16230,#12328,#12329)!#10273=AXIS2_PLACEMENT_3D('',#16232,#12331,#12332)!#10274=AXIS2_PLACEMENT_3D('',#16234,#12334,#12335)!#10275=AXIS2_PLACEMENT_3D('',#16236,#12337,#12338)!#10276=AXIS2_PLACEMENT_3D('',#16238,#12339,#12340)!#10277=AXIS2_PLACEMENT_3D('',#16242,#12343,#12344)!#10278=AXIS2_PLACEMENT_3D('',#16245,#12346,#12347)!#10279=AXIS2_PLACEMENT_3D('',#16275,#12349,#12350)!#10280=AXIS2_PLACEMENT_3D('',#16279,#12353,#12354)!#10281=AXIS2_PLACEMENT_3D('',#16281,#12356,#12357)!#10282=AXIS2_PLACEMENT_3D('',#16282,#12358,#12359)!#10283=AXIS2_PLACEMENT_3D('',#16285,#12360,#12361)!#10284=AXIS2_PLACEMENT_3D('',#16289,#12363,#12364)!#10285=AXIS2_PLACEMENT_3D('',#16291,#12365,#12366)!#10286=AXIS2_PLACEMENT_3D('',#16295,#12369,#12370)!#10287=AXIS2_PLACEMENT_3D('',#16302,#12375,#12376)!#10288=AXIS2_PLACEMENT_3D('',#16312,#12382,#12383)!#10289=AXIS2_PLACEMENT_3D('',#16313,#12384,#12385)!#10290=AXIS2_PLACEMENT_3D('',#16319,#12389,#12390)!#10291=AXIS2_PLACEMENT_3D('',#16321,#12391,#12392)!#10292=AXIS2_PLACEMENT_3D('',#16325,#12395,#12396)!#10293=AXIS2_PLACEMENT_3D('',#16326,#12397,#12398)!#10294=AXIS2_PLACEMENT_3D('',#16332,#12402,#12403)!#10295=AXIS2_PLACEMENT_3D('',#16334,#12404,#12405)!#10296=AXIS2_PLACEMENT_3D('',#16363,#12406,#12407)!#10297=AXIS2_PLACEMENT_3D('',#16364,#12408,#12409)!#10298=AXIS2_PLACEMENT_3D('',#16370,#12413,#12414)!#10299=AXIS2_PLACEMENT_3D('',#16376,#12418,#12419)!#10300=AXIS2_PLACEMENT_3D('',#16377,#12420,#12421)!#10301=AXIS2_PLACEMENT_3D('',#16378,#12422,#12423)!#10302=AXIS2_PLACEMENT_3D('',#16379,#12424,#12425)!#10303=AXIS2_PLACEMENT_3D('',#16380,#12426,#12427)!#10304=AXIS2_PLACEMENT_3D('',#16386,#12431,#12432)!#10305=AXIS2_PLACEMENT_3D('',#16392,#12436,#12437)!#10306=AXIS2_PLACEMENT_3D('',#16400,#12442,#12443)!#10307=AXIS2_PLACEMENT_3D('',#16404,#12446,#12447)!#10308=AXIS2_PLACEMENT_3D('',#16410,#12451,#12452)!#10309=AXIS2_PLACEMENT_3D('',#16412,#12453,#12454)!#10310=AXIS2_PLACEMENT_3D('',#16413,#12455,#12456)!#10311=AXIS2_PLACEMENT_3D('',#16414,#12457,#12458)!#10312=AXIS2_PLACEMENT_3D('',#16427,#12465,#12466)!#10313=AXIS2_PLACEMENT_3D('',#16432,#12470,#12471)!#10314=AXIS2_PLACEMENT_3D('',#16436,#12474,#12475)!#10315=AXIS2_PLACEMENT_3D('',#16439,#12478,#12479)!#10316=AXIS2_PLACEMENT_3D('',#16442,#12482,#12483)!#10317=AXIS2_PLACEMENT_3D('',#16444,#12485,#12486)!#10318=AXIS2_PLACEMENT_3D('',#16446,#12488,#12489)!#10319=AXIS2_PLACEMENT_3D('',#16448,#12491,#12492)!#10320=AXIS2_PLACEMENT_3D('',#16450,#12494,#12495)!#10321=AXIS2_PLACEMENT_3D('',#16451,#12496,#12497)!#10322=AXIS2_PLACEMENT_3D('',#16452,#12498,#12499)!#10323=AXIS2_PLACEMENT_3D('',#16454,#12500,#12501)!#10324=AXIS2_PLACEMENT_3D('',#16455,#12502,#12503)!#10325=AXIS2_PLACEMENT_3D('',#16457,#12505,#12506)!#10326=AXIS2_PLACEMENT_3D('',#16460,#12507,#12508)!#10327=AXIS2_PLACEMENT_3D('',#16462,#12509,#12510)!#10328=AXIS2_PLACEMENT_3D('',#16464,#12511,#12512)!#10329=AXIS2_PLACEMENT_3D('',#16466,#12513,#12514)!#10330=AXIS2_PLACEMENT_3D('',#16468,#12515,#12516)!#10331=AXIS2_PLACEMENT_3D('',#16469,#12517,#12518)!#10332=AXIS2_PLACEMENT_3D('',#16470,#12519,#12520)!#10333=AXIS2_PLACEMENT_3D('',#16481,#12523,#12524)!#10334=AXIS2_PLACEMENT_3D('',#16490,#12526,#12527)!#10335=AXIS2_PLACEMENT_3D('',#16499,#12529,#12530)!#10336=AXIS2_PLACEMENT_3D('',#16508,#12532,#12533)!#10337=AXIS2_PLACEMENT_3D('',#16517,#12535,#12536)!#10338=AXIS2_PLACEMENT_3D('',#16524,#12537,#12538)!#10339=AXIS2_PLACEMENT_3D('',#16525,#12539,#12540)!#10340=AXIS2_PLACEMENT_3D('',#16526,#12541,#12542)!#10341=AXIS2_PLACEMENT_3D('',#16527,#12543,#12544)!#10342=AXIS2_PLACEMENT_3D('',#16528,#12545,#12546)!#10343=AXIS2_PLACEMENT_3D('',#16529,#12547,#12548)!#10344=AXIS2_PLACEMENT_3D('',#16530,#12549,#12550)!#10345=AXIS2_PLACEMENT_3D('',#16533,#12551,#12552)!#10346=AXIS2_PLACEMENT_3D('',#16534,#12553,#12554)!#10347=AXIS2_PLACEMENT_3D('',#16537,#12555,#12556)!#10348=AXIS2_PLACEMENT_3D('',#16538,#12557,#12558)!#10349=AXIS2_PLACEMENT_3D('',#16539,#12559,#12560)!#10350=AXIS2_PLACEMENT_3D('',#16543,#12562,#12563)!#10351=AXIS2_PLACEMENT_3D('',#16544,#12564,#12565)!#10352=AXIS2_PLACEMENT_3D('',#16545,#12566,#12567)!#10353=AXIS2_PLACEMENT_3D('',#16548,#12568,#12569)!#10354=AXIS2_PLACEMENT_3D('',#16549,#12570,#12571)!#10355=AXIS2_PLACEMENT_3D('',#16550,#12572,#12573)!#10356=AXIS2_PLACEMENT_3D('',#16554,#12575,#12576)!#10357=AXIS2_PLACEMENT_3D('',#16558,#12578,#12579)!#10358=AXIS2_PLACEMENT_3D('',#16562,#12581,#12582)!#10359=AXIS2_PLACEMENT_3D('',#16563,#12583,#12584)!#10360=AXIS2_PLACEMENT_3D('',#16565,#12585,#12586)!#10361=AXIS2_PLACEMENT_3D('',#16568,#12588,#12589)!#10362=AXIS2_PLACEMENT_3D('',#16643,#12591,#12592)!#10363=AXIS2_PLACEMENT_3D('',#16646,#12594,#12595)!#10364=AXIS2_PLACEMENT_3D('',#16647,#12596,#12597)!#10365=AXIS2_PLACEMENT_3D('',#16650,#12598,#12599)!#10366=AXIS2_PLACEMENT_3D('',#16651,#12600,#12601)!#10367=AXIS2_PLACEMENT_3D('',#16652,#12602,#12603)!#10368=AXIS2_PLACEMENT_3D('',#16658,#12607,#12608)!#10369=AXIS2_PLACEMENT_3D('',#16664,#12612,#12613)!#10370=AXIS2_PLACEMENT_3D('',#16667,#12614,#12615)!#10371=AXIS2_PLACEMENT_3D('',#16671,#12617,#12618)!#10372=AXIS2_PLACEMENT_3D('',#16673,#12620,#12621)!#10373=AXIS2_PLACEMENT_3D('',#16679,#12625,#12626)!#10374=AXIS2_PLACEMENT_3D('',#16681,#12627,#12628)!#10375=AXIS2_PLACEMENT_3D('',#16684,#12630,#12631)!#10376=AXIS2_PLACEMENT_3D('',#16685,#12632,#12633)!#10377=AXIS2_PLACEMENT_3D('',#16691,#12637,#12638)!#10378=AXIS2_PLACEMENT_3D('',#16693,#12639,#12640)!#10379=AXIS2_PLACEMENT_3D('',#16696,#12642,#12643)!#10380=AXIS2_PLACEMENT_3D('',#16697,#12644,#12645)!#10381=AXIS2_PLACEMENT_3D('',#16700,#12646,#12647)!#10382=AXIS2_PLACEMENT_3D('',#16705,#12650,#12651)!#10383=AXIS2_PLACEMENT_3D('',#16719,#12659,#12660)!#10384=AXIS2_PLACEMENT_3D('',#16722,#12663,#12664)!#10385=AXIS2_PLACEMENT_3D('',#16724,#12665,#12666)!#10386=AXIS2_PLACEMENT_3D('',#16727,#12668,#12669)!#10387=AXIS2_PLACEMENT_3D('',#16728,#12670,#12671)!#10388=AXIS2_PLACEMENT_3D('',#16734,#12675,#12676)!#10389=AXIS2_PLACEMENT_3D('',#16736,#12677,#12678)!#10390=AXIS2_PLACEMENT_3D('',#16739,#12680,#12681)!#10391=AXIS2_PLACEMENT_3D('',#16740,#12682,#12683)!#10392=AXIS2_PLACEMENT_3D('',#16749,#12688,#12689)!#10393=AXIS2_PLACEMENT_3D('',#16752,#12690,#12691)!#10394=AXIS2_PLACEMENT_3D('',#16756,#12693,#12694)!#10395=AXIS2_PLACEMENT_3D('',#16758,#12696,#12697)!#10396=AXIS2_PLACEMENT_3D('',#16764,#12701,#12702)!#10397=AXIS2_PLACEMENT_3D('',#16765,#12703,#12704)!#10398=AXIS2_PLACEMENT_3D('',#16766,#12705,#12706)!#10399=AXIS2_PLACEMENT_3D('',#16767,#12707,#12708)!#10400=AXIS2_PLACEMENT_3D('',#16768,#12709,#12710)!#10401=AXIS2_PLACEMENT_3D('',#16769,#12711,#12712)!#10402=AXIS2_PLACEMENT_3D('',#16770,#12713,#12714)!#10403=AXIS2_PLACEMENT_3D('',#16773,#12715,#12716)!#10404=AXIS2_PLACEMENT_3D('',#16778,#12719,#12720)!#10405=AXIS2_PLACEMENT_3D('',#16792,#12728,#12729)!#10406=AXIS2_PLACEMENT_3D('',#16796,#12732,#12733)!#10407=AXIS2_PLACEMENT_3D('',#16798,#12734,#12735)!#10408=AXIS2_PLACEMENT_3D('',#16822,#12737,#12738)!#10409=AXIS2_PLACEMENT_3D('',#16824,#12739,#12740)!#10410=AXIS2_PLACEMENT_3D('',#16826,#12741,#12742)!#10411=AXIS2_PLACEMENT_3D('',#16830,#12744,#12745)!#10412=AXIS2_PLACEMENT_3D('',#16834,#12747,#12748)!#10413=AXIS2_PLACEMENT_3D('',#16836,#12750,#12751)!#10414=AXIS2_PLACEMENT_3D('',#16842,#12755,#12756)!#10415=AXIS2_PLACEMENT_3D('',#16869,#12759,#12760)!#10416=AXIS2_PLACEMENT_3D('',#16870,#12761,#12762)!#10417=AXIS2_PLACEMENT_3D('',#16872,#12764,#12765)!#10418=AXIS2_PLACEMENT_3D('',#16875,#12766,#12767)!#10419=AXIS2_PLACEMENT_3D('',#16876,#12768,#12769)!#10420=AXIS2_PLACEMENT_3D('',#16879,#12770,#12771)!#10421=AXIS2_PLACEMENT_3D('',#16880,#12772,#12773)!#10422=AXIS2_PLACEMENT_3D('',#16881,#12774,#12775)!#10423=AXIS2_PLACEMENT_3D('',#16885,#12777,#12778)!#10424=AXIS2_PLACEMENT_3D('',#16886,#12779,#12780)!#10425=AXIS2_PLACEMENT_3D('',#16887,#12781,#12782)!#10426=AXIS2_PLACEMENT_3D('',#16890,#12783,#12784)!#10427=AXIS2_PLACEMENT_3D('',#16891,#12785,#12786)!#10428=AXIS2_PLACEMENT_3D('',#16892,#12787,#12788)!#10429=AXIS2_PLACEMENT_3D('',#16905,#12790,#12791)!#10430=AXIS2_PLACEMENT_3D('',#16920,#12792,#12793)!#10431=AXIS2_PLACEMENT_3D('',#16929,#12794,#12795)!#10432=AXIS2_PLACEMENT_3D('',#16931,#12797,#12798)!#10433=AXIS2_PLACEMENT_3D('',#16945,#12799,#12800)!#10434=AXIS2_PLACEMENT_3D('',#16959,#12801,#12802)!#10435=AXIS2_PLACEMENT_3D('',#16961,#12803,#12804)!#10436=AXIS2_PLACEMENT_3D('',#16962,#12805,#12806)!#10437=AXIS2_PLACEMENT_3D('',#16963,#12807,#12808)!#10438=AXIS2_PLACEMENT_3D('',#16964,#12809,#12810)!#10439=AXIS2_PLACEMENT_3D('',#16968,#12812,#12813)!#10440=AXIS2_PLACEMENT_3D('',#16970,#12814,#12815)!#10441=AXIS2_PLACEMENT_3D('',#16971,#12816,#12817)!#10442=AXIS2_PLACEMENT_3D('',#16972,#12818,#12819)!#10443=AXIS2_PLACEMENT_3D('',#16975,#12820,#12821)!#10444=AXIS2_PLACEMENT_3D('',#16976,#12822,#12823)!#10445=AXIS2_PLACEMENT_3D('',#16977,#12824,#12825)!#10446=AXIS2_PLACEMENT_3D('',#16978,#12826,#12827)!#10447=AXIS2_PLACEMENT_3D('',#16979,#12828,#12829)!#10448=AXIS2_PLACEMENT_3D('',#16982,#12831,#12832)!#10449=AXIS2_PLACEMENT_3D('',#16983,#12833,#12834)!#10450=AXIS2_PLACEMENT_3D('',#16985,#12835,#12836)!#10451=AXIS2_PLACEMENT_3D('',#17000,#12845,#12846)!#10452=AXIS2_PLACEMENT_3D('',#17002,#12848,#12849)!#10453=AXIS2_PLACEMENT_3D('',#17004,#12851,#12852)!#10454=AXIS2_PLACEMENT_3D('',#17005,#12853,#12854)!#10455=AXIS2_PLACEMENT_3D('',#17007,#12856,#12857)!#10456=AXIS2_PLACEMENT_3D('',#17009,#12858,#12859)!#10457=AXIS2_PLACEMENT_3D('',#17013,#12862,#12863)!#10458=AXIS2_PLACEMENT_3D('',#17014,#12864,#12865)!#10459=AXIS2_PLACEMENT_3D('',#17018,#12868,#12869)!#10460=AXIS2_PLACEMENT_3D('',#17019,#12870,#12871)!#10461=AXIS2_PLACEMENT_3D('',#17020,#12872,#12873)!#10462=AXIS2_PLACEMENT_3D('',#17022,#12874,#12875)!#10463=AXIS2_PLACEMENT_3D('',#17024,#12877,#12878)!#10464=AXIS2_PLACEMENT_3D('',#17026,#12880,#12881)!#10465=AXIS2_PLACEMENT_3D('',#17027,#12882,#12883)!#10466=AXIS2_PLACEMENT_3D('',#17030,#12884,#12885)!#10467=AXIS2_PLACEMENT_3D('',#17034,#12887,#12888)!#10468=AXIS2_PLACEMENT_3D('',#17038,#12890,#12891)!#10469=AXIS2_PLACEMENT_3D('',#17042,#12893,#12894)!#10470=AXIS2_PLACEMENT_3D('',#17044,#12896,#12897)!#10471=AXIS2_PLACEMENT_3D('',#17055,#12904,#12905)!#10472=AXIS2_PLACEMENT_3D('',#17057,#12906,#12907)!#10473=AXIS2_PLACEMENT_3D('',#17060,#12909,#12910)!#10474=AXIS2_PLACEMENT_3D('',#17062,#12911,#12912)!#10475=AXIS2_PLACEMENT_3D('',#17065,#12914,#12915)!#10476=AXIS2_PLACEMENT_3D('',#17066,#12916,#12917)!#10477=AXIS2_PLACEMENT_3D('',#17072,#12921,#12922)!#10478=AXIS2_PLACEMENT_3D('',#17073,#12923,#12924)!#10479=AXIS2_PLACEMENT_3D('',#17074,#12925,#12926)!#10480=AXIS2_PLACEMENT_3D('',#17075,#12927,#12928)!#10481=AXIS2_PLACEMENT_3D('',#17076,#12929,#12930)!#10482=AXIS2_PLACEMENT_3D('',#17077,#12931,#12932)!#10483=AXIS2_PLACEMENT_3D('',#17078,#12933,#12934)!#10484=AXIS2_PLACEMENT_3D('',#17079,#12935,#12936)!#10485=AXIS2_PLACEMENT_3D('',#17080,#12937,#12938)!#10486=AXIS2_PLACEMENT_3D('',#17081,#12939,#12940)!#10487=AXIS2_PLACEMENT_3D('',#17082,#12941,#12942)!#10488=AXIS2_PLACEMENT_3D('',#17083,#12943,#12944)!#10489=AXIS2_PLACEMENT_3D('',#17084,#12945,#12946)!#10490=AXIS2_PLACEMENT_3D('',#17085,#12947,#12948)!#10491=AXIS2_PLACEMENT_3D('',#17086,#12949,#12950)!#10492=AXIS2_PLACEMENT_3D('',#17105,#12951,#12952)!#10493=AXIS2_PLACEMENT_3D('',#17106,#12953,#12954)!#10494=AXIS2_PLACEMENT_3D('',#17107,#12955,#12956)!#10495=AXIS2_PLACEMENT_3D('',#17108,#12957,#12958)!#10496=AXIS2_PLACEMENT_3D('',#17109,#12959,#12960)!#10497=AXIS2_PLACEMENT_3D('',#17128,#12961,#12962)!#10498=AXIS2_PLACEMENT_3D('',#17130,#12964,#12965)!#10499=AXIS2_PLACEMENT_3D('',#17132,#12967,#12968)!#10500=AXIS2_PLACEMENT_3D('',#17133,#12969,#12970)!#10501=AXIS2_PLACEMENT_3D('',#17135,#12972,#12973)!#10502=AXIS2_PLACEMENT_3D('',#17137,#12975,#12976)!#10503=AXIS2_PLACEMENT_3D('',#17138,#12977,#12978)!#10504=AXIS2_PLACEMENT_3D('',#17141,#12979,#12980)!#10505=AXIS2_PLACEMENT_3D('',#17142,#12981,#12982)!#10506=AXIS2_PLACEMENT_3D('',#17144,#12983,#12984)!#10507=AXIS2_PLACEMENT_3D('',#17145,#12985,#12986)!#10508=AXIS2_PLACEMENT_3D('',#17148,#12987,#12988)!#10509=AXIS2_PLACEMENT_3D('',#17149,#12989,#12990)!#10510=AXIS2_PLACEMENT_3D('',#17151,#12991,#12992)!#10511=AXIS2_PLACEMENT_3D('',#17152,#12993,#12994)!#10512=AXIS2_PLACEMENT_3D('',#17153,#12995,#12996)!#10513=AXIS2_PLACEMENT_3D('',#17157,#12998,#12999)!#10514=AXIS2_PLACEMENT_3D('',#17158,#13000,#13001)!#10515=AXIS2_PLACEMENT_3D('',#17159,#13002,#13003)!#10516=AXIS2_PLACEMENT_3D('',#17163,#13005,#13006)!#10517=AXIS2_PLACEMENT_3D('',#17165,#13007,#13008)!#10518=AXIS2_PLACEMENT_3D('',#17166,#13009,#13010)!#10519=AXIS2_PLACEMENT_3D('',#17167,#13011,#13012)!#10520=AXIS2_PLACEMENT_3D('',#17170,#13013,#13014)!#10521=AXIS2_PLACEMENT_3D('',#17171,#13015,#13016)!#10522=AXIS2_PLACEMENT_3D('',#17173,#13017,#13018)!#10523=AXIS2_PLACEMENT_3D('',#17174,#13019,#13020)!#10524=AXIS2_PLACEMENT_3D('',#17175,#13021,#13022)!#10525=AXIS2_PLACEMENT_3D('',#17179,#13024,#13025)!#10526=AXIS2_PLACEMENT_3D('',#17181,#13026,#13027)!#10527=AXIS2_PLACEMENT_3D('',#17182,#13028,#13029)!#10528=AXIS2_PLACEMENT_3D('',#17183,#13030,#13031)!#10529=AXIS2_PLACEMENT_3D('',#17186,#13032,#13033)!#10530=AXIS2_PLACEMENT_3D('',#17187,#13034,#13035)!#10531=AXIS2_PLACEMENT_3D('',#17189,#13036,#13037)!#10532=AXIS2_PLACEMENT_3D('',#17190,#13038,#13039)!#10533=AXIS2_PLACEMENT_3D('',#17191,#13040,#13041)!#10534=AXIS2_PLACEMENT_3D('',#17195,#13043,#13044)!#10535=AXIS2_PLACEMENT_3D('',#17196,#13045,#13046)!#10536=AXIS2_PLACEMENT_3D('',#17197,#13047,#13048)!#10537=AXIS2_PLACEMENT_3D('',#17199,#13050,#13051)!#10538=AXIS2_PLACEMENT_3D('',#17202,#13052,#13053)!#10539=AXIS2_PLACEMENT_3D('',#17203,#13054,#13055)!#10540=AXIS2_PLACEMENT_3D('',#17205,#13057,#13058)!#10541=AXIS2_PLACEMENT_3D('',#17208,#13059,#13060)!#10542=AXIS2_PLACEMENT_3D('',#17209,#13061,#13062)!#10543=AXIS2_PLACEMENT_3D('',#17210,#13063,#13064)!#10544=AXIS2_PLACEMENT_3D('',#17212,#13066,#13067)!#10545=AXIS2_PLACEMENT_3D('',#17215,#13068,#13069)!#10546=AXIS2_PLACEMENT_3D('',#17217,#13070,#13071)!#10547=AXIS2_PLACEMENT_3D('',#17218,#13072,#13073)!#10548=AXIS2_PLACEMENT_3D('',#17219,#13074,#13075)!#10549=AXIS2_PLACEMENT_3D('',#17222,#13076,#13077)!#10550=AXIS2_PLACEMENT_3D('',#17223,#13078,#13079)!#10551=AXIS2_PLACEMENT_3D('',#17224,#13080,#13081)!#10552=AXIS2_PLACEMENT_3D('',#17227,#13082,#13083)!#10553=AXIS2_PLACEMENT_3D('',#17228,#13084,#13085)!#10554=AXIS2_PLACEMENT_3D('',#17230,#13087,#13088)!#10555=AXIS2_PLACEMENT_3D('',#17232,#13090,#13091)!#10556=AXIS2_PLACEMENT_3D('',#17235,#13092,#13093)!#10557=AXIS2_PLACEMENT_3D('',#17237,#13094,#13095)!#10558=AXIS2_PLACEMENT_3D('',#17238,#13096,#13097)!#10559=AXIS2_PLACEMENT_3D('',#17239,#13098,#13099)!#10560=AXIS2_PLACEMENT_3D('',#17242,#13100,#13101)!#10561=AXIS2_PLACEMENT_3D('',#17243,#13102,#13103)!#10562=AXIS2_PLACEMENT_3D('',#17244,#13104,#13105)!#10563=AXIS2_PLACEMENT_3D('',#17247,#13106,#13107)!#10564=AXIS2_PLACEMENT_3D('',#17248,#13108,#13109)!#10565=AXIS2_PLACEMENT_3D('',#17250,#13111,#13112)!#10566=AXIS2_PLACEMENT_3D('',#17252,#13114,#13115)!#10567=AXIS2_PLACEMENT_3D('',#17255,#13116,#13117)!#10568=AXIS2_PLACEMENT_3D('',#17257,#13118,#13119)!#10569=AXIS2_PLACEMENT_3D('',#17259,#13120,#13121)!#10570=AXIS2_PLACEMENT_3D('',#17261,#13122,#13123)!#10571=AXIS2_PLACEMENT_3D('',#17263,#13124,#13125)!#10572=AXIS2_PLACEMENT_3D('',#17264,#13126,#13127)!#10573=AXIS2_PLACEMENT_3D('',#17265,#13128,#13129)!#10574=AXIS2_PLACEMENT_3D('',#17276,#13132,#13133)!#10575=AXIS2_PLACEMENT_3D('',#17285,#13135,#13136)!#10576=AXIS2_PLACEMENT_3D('',#17294,#13138,#13139)!#10577=AXIS2_PLACEMENT_3D('',#17303,#13141,#13142)!#10578=AXIS2_PLACEMENT_3D('',#17312,#13144,#13145)!#10579=AXIS2_PLACEMENT_3D('',#17319,#13146,#13147)!#10580=AXIS2_PLACEMENT_3D('',#17320,#13148,#13149)!#10581=AXIS2_PLACEMENT_3D('',#17321,#13150,#13151)!#10582=AXIS2_PLACEMENT_3D('',#17322,#13152,#13153)!#10583=AXIS2_PLACEMENT_3D('',#17323,#13154,#13155)!#10584=AXIS2_PLACEMENT_3D('',#17324,#13156,#13157)!#10585=AXIS2_PLACEMENT_3D('',#17325,#13158,#13159)!#10586=AXIS2_PLACEMENT_3D('',#17327,#13160,#13161)!#10587=AXIS2_PLACEMENT_3D('',#17328,#13162,#13163)!#10588=AXIS2_PLACEMENT_3D('',#17330,#13165,#13166)!#10589=AXIS2_PLACEMENT_3D('',#17333,#13167,#13168)!#10590=AXIS2_PLACEMENT_3D('',#17335,#13169,#13170)!#10591=AXIS2_PLACEMENT_3D('',#17337,#13171,#13172)!#10592=AXIS2_PLACEMENT_3D('',#17339,#13173,#13174)!#10593=AXIS2_PLACEMENT_3D('',#17341,#13175,#13176)!#10594=AXIS2_PLACEMENT_3D('',#17342,#13177,#13178)!#10595=AXIS2_PLACEMENT_3D('',#17343,#13179,#13180)!#10596=AXIS2_PLACEMENT_3D('',#17354,#13183,#13184)!#10597=AXIS2_PLACEMENT_3D('',#17363,#13186,#13187)!#10598=AXIS2_PLACEMENT_3D('',#17372,#13189,#13190)!#10599=AXIS2_PLACEMENT_3D('',#17381,#13192,#13193)!#10600=AXIS2_PLACEMENT_3D('',#17390,#13195,#13196)!#10601=AXIS2_PLACEMENT_3D('',#17397,#13197,#13198)!#10602=AXIS2_PLACEMENT_3D('',#17398,#13199,#13200)!#10603=AXIS2_PLACEMENT_3D('',#17399,#13201,#13202)!#10604=AXIS2_PLACEMENT_3D('',#17400,#13203,#13204)!#10605=AXIS2_PLACEMENT_3D('',#17401,#13205,#13206)!#10606=AXIS2_PLACEMENT_3D('',#17402,#13207,#13208)!#10607=AXIS2_PLACEMENT_3D('',#17403,#13209,#13210)!#10608=AXIS2_PLACEMENT_3D('',#17405,#13211,#13212)!#10609=AXIS2_PLACEMENT_3D('',#17406,#13213,#13214)!#10610=DIRECTION('axis',(0.,0.,1.))!#10611=DIRECTION('refdir',(1.,0.,0.))!#10612=DIRECTION('center_axis',(1.09810101603491E-31,1.,-4.94540732662997E-16))!#10613=DIRECTION('ref_axis',(2.22044604925031E-16,-4.16932911299119E-16, -1.))!#10614=DIRECTION('center_axis',(1.09810101603491E-31,1.,-4.94540732662997E-16))!#10615=DIRECTION('ref_axis',(2.22044604925031E-16,-4.94540732662997E-16, -1.))!#10616=DIRECTION('',(-2.43594381474384E-16,0.707137170984521,0.707076390082435))!#10617=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#10618=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#10619=DIRECTION('center_axis',(-1.09810101603491E-31,-1.,4.94540732662997E-16))!#10620=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#10621=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10622=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10623=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10624=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10625=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10626=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10627=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10628=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10629=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10630=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10631=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10632=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10633=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10634=DIRECTION('ref_axis',(3.44509284839767E-16,-3.53542842809103E-16, -1.))!#10635=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10636=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10637=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10638=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10639=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10640=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10641=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10642=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10643=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#10644=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#10645=DIRECTION('center_axis',(1.,1.07062944056673E-31,5.24873374434254E-16))!#10646=DIRECTION('ref_axis',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10647=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10648=DIRECTION('',(-5.24873374434254E-16,3.53542842809103E-16,1.))!#10649=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10650=DIRECTION('center_axis',(0.5,3.06177083198852E-16,0.866025403784439))!#10651=DIRECTION('ref_axis',(-0.866025403784439,1.76771421404551E-16,0.5))!#10652=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10653=DIRECTION('',(-0.866025403784439,1.76771421404551E-16,0.5))!#10654=DIRECTION('center_axis',(-0.500000000000001,3.06177083198851E-16, 0.866025403784438))!#10655=DIRECTION('ref_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10656=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10657=DIRECTION('',(-0.866025403784438,-1.76771421404552E-16,-0.500000000000001))!#10658=DIRECTION('center_axis',(-1.,-3.2118883217002E-31,-1.1305309134527E-15))!#10659=DIRECTION('ref_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#10660=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10661=DIRECTION('',(1.1305309134527E-15,-3.53542842809103E-16,-1.))!#10662=DIRECTION('center_axis',(-0.499999999999999,-3.06177083198852E-16, -0.866025403784439))!#10663=DIRECTION('ref_axis',(0.866025403784439,-1.76771421404551E-16,-0.499999999999999))!#10664=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10665=DIRECTION('',(0.866025403784439,-1.76771421404551E-16,-0.499999999999999))!#10666=DIRECTION('center_axis',(0.500000000000001,-3.06177083198852E-16, -0.866025403784438))!#10667=DIRECTION('ref_axis',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#10668=DIRECTION('',(0.866025403784438,1.76771421404552E-16,0.500000000000001))!#10669=DIRECTION('center_axis',(-8.72446465042668E-19,-1.,4.96091980818268E-16))!#10670=DIRECTION('ref_axis',(1.,-8.72446465042558E-19,2.22044604925031E-16))!#10671=DIRECTION('center_axis',(3.1307820747871E-32,1.,-1.40997889853894E-16))!#10672=DIRECTION('ref_axis',(2.22044604925031E-16,-6.33900684900158E-17, -1.))!#10673=DIRECTION('center_axis',(3.1307820747871E-32,1.,-1.40997889853894E-16))!#10674=DIRECTION('ref_axis',(2.22044604925031E-16,-1.40997889853894E-16, -1.))!#10675=DIRECTION('',(-2.43594381474384E-16,0.707137170984521,0.707076390082436))!#10676=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#10677=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#10678=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#10679=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#10680=DIRECTION('center_axis',(-3.1307820747871E-32,-1.,1.40997889853894E-16))!#10681=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#10682=DIRECTION('center_axis',(0.,-1.,0.))!#10683=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10684=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10685=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10686=DIRECTION('center_axis',(0.,-1.,0.))!#10687=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10688=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10689=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10690=DIRECTION('center_axis',(0.,-1.,0.))!#10691=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10692=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10693=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10694=DIRECTION('center_axis',(0.,-1.,0.))!#10695=DIRECTION('ref_axis',(3.44509284839767E-16,0.,-1.))!#10696=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10697=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10698=DIRECTION('center_axis',(0.,-1.,0.))!#10699=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10700=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10701=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10702=DIRECTION('center_axis',(0.,-1.,0.))!#10703=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10704=DIRECTION('center_axis',(8.72446465042589E-19,1.,-1.42549138009165E-16))!#10705=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#10706=DIRECTION('center_axis',(1.,0.,5.24873374434254E-16))!#10707=DIRECTION('ref_axis',(0.,1.,0.))!#10708=DIRECTION('',(0.,1.,0.))!#10709=DIRECTION('',(-5.24873374434254E-16,-3.53542842809103E-16,1.))!#10710=DIRECTION('',(0.,1.,0.))!#10711=DIRECTION('center_axis',(0.5,0.,0.866025403784439))!#10712=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5))!#10713=DIRECTION('',(0.,1.,0.))!#10714=DIRECTION('',(-0.866025403784439,-1.76771421404551E-16,0.5))!#10715=DIRECTION('center_axis',(-0.500000000000001,0.,0.866025403784438))!#10716=DIRECTION('ref_axis',(0.,-1.,0.))!#10717=DIRECTION('',(0.,1.,0.))!#10718=DIRECTION('',(-0.866025403784438,1.76771421404552E-16,-0.500000000000001))!#10719=DIRECTION('center_axis',(-1.,0.,-1.1305309134527E-15))!#10720=DIRECTION('ref_axis',(0.,-1.,0.))!#10721=DIRECTION('',(0.,1.,0.))!#10722=DIRECTION('',(1.1305309134527E-15,3.53542842809103E-16,-1.))!#10723=DIRECTION('center_axis',(-0.499999999999999,0.,-0.866025403784439))!#10724=DIRECTION('ref_axis',(0.866025403784439,0.,-0.499999999999999))!#10725=DIRECTION('',(0.,1.,0.))!#10726=DIRECTION('',(0.866025403784439,1.76771421404551E-16,-0.499999999999999))!#10727=DIRECTION('center_axis',(0.500000000000001,0.,-0.866025403784438))!#10728=DIRECTION('ref_axis',(0.,1.,0.))!#10729=DIRECTION('',(0.866025403784438,-1.76771421404552E-16,0.500000000000001))!#10730=DIRECTION('center_axis',(-8.72446465042589E-19,-1.,1.42549138009165E-16))!#10731=DIRECTION('ref_axis',(1.,-8.72446465042558E-19,2.22044604925031E-16))!#10732=DIRECTION('center_axis',(-0.792212795079337,0.610244940423584,-4.16209875019981E-16))!#10733=DIRECTION('ref_axis',(-0.610244940423584,-0.792212795079337,1.7645731471272E-16))!#10734=DIRECTION('',(-0.610244940423584,-0.792212795079337,-1.35501596703854E-16))!#10735=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10736=DIRECTION('',(0.610244940423584,0.792212795079337,-1.7645731471272E-16))!#10737=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10738=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10739=DIRECTION('center_axis',(-0.792212795079335,-0.610244940423588,6.43967208200906E-17))!#10740=DIRECTION('ref_axis',(0.610244940423588,-0.792212795079335,4.47460508120428E-16))!#10741=DIRECTION('',(0.610244940423588,-0.792212795079335,1.35501596703855E-16))!#10742=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10743=DIRECTION('',(-0.610244940423588,0.792212795079335,-4.47460508120428E-16))!#10744=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10745=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10746=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10747=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10748=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10749=DIRECTION('center_axis',(0.792306646898181,0.61012308371423,-6.43278965863156E-17))!#10750=DIRECTION('ref_axis',(-0.61012308371423,0.792306646898181,-4.47470407625524E-16))!#10751=DIRECTION('',(-0.61012308371423,0.792306646898181,-1.35474539078968E-16))!#10752=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10753=DIRECTION('',(0.61012308371423,-0.792306646898181,4.47470407625524E-16))!#10754=DIRECTION('center_axis',(0.792183100321141,0.610283487869027,-6.44184936600344E-17))!#10755=DIRECTION('ref_axis',(-0.610283487869027,0.792183100321141,-4.47457374119976E-16))!#10756=DIRECTION('',(-0.610283487869027,0.792183100321141,-1.35510155956148E-16))!#10757=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10758=DIRECTION('',(0.610283487869027,-0.792183100321141,4.47457374119976E-16))!#10759=DIRECTION('center_axis',(0.792194537987718,-0.610268640831582,4.16215153918543E-16))!#10760=DIRECTION('ref_axis',(0.610268640831582,0.792194537987718,-1.7644486285618E-16))!#10761=DIRECTION('',(0.610268640831582,0.792194537987718,1.35506859251584E-16))!#10762=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10763=DIRECTION('',(-0.610268640831582,-0.792194537987718,1.7644486285618E-16))!#10764=DIRECTION('center_axis',(0.792306646898181,0.61012308371423,-6.43278965863156E-17))!#10765=DIRECTION('ref_axis',(-0.61012308371423,0.792306646898181,-4.47470407625524E-16))!#10766=DIRECTION('',(-0.61012308371423,0.792306646898181,-1.35474539078968E-16))!#10767=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10768=DIRECTION('',(0.61012308371423,-0.792306646898181,4.47470407625524E-16))!#10769=DIRECTION('center_axis',(0.792318073248593,-0.61010824515297,4.16179423371675E-16))!#10770=DIRECTION('ref_axis',(0.61010824515297,0.792318073248593,-1.76529123777898E-16))!#10771=DIRECTION('',(0.61010824515297,0.792318073248593,1.35471244256495E-16))!#10772=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10773=DIRECTION('',(-0.61010824515297,-0.792318073248593,1.76529123777898E-16))!#10774=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10775=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10776=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10777=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10778=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10779=DIRECTION('center_axis',(-0.79222423172973,0.610230093210945,-4.16206567905675E-16))!#10780=DIRECTION('ref_axis',(-0.610230093210945,-0.79222423172973,1.76465114999966E-16))!#10781=DIRECTION('',(-0.610230093210945,-0.79222423172973,-1.35498299960389E-16))!#10782=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10783=DIRECTION('',(0.610230093210945,0.792224231729731,-1.76465114999966E-16))!#10784=DIRECTION('center_axis',(-0.792224231729728,-0.610230093210947,6.43883348127472E-17))!#10785=DIRECTION('ref_axis',(0.610230093210948,-0.792224231729728,4.47461714920745E-16))!#10786=DIRECTION('',(0.610230093210948,-0.792224231729728,1.3549829996039E-16))!#10787=DIRECTION('',(-0.610230093210947,0.792224231729728,-4.47461714920745E-16))!#10788=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10789=DIRECTION('ref_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10790=DIRECTION('center_axis',(-0.792212795079335,-0.610244940423588,6.43967208200906E-17))!#10791=DIRECTION('ref_axis',(0.610244940423588,-0.792212795079335,4.47460508120428E-16))!#10792=DIRECTION('',(-0.610244940423588,0.792212795079335,-1.35501596703855E-16))!#10793=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10794=DIRECTION('',(-0.610244940423588,0.792212795079335,-4.47460508120428E-16))!#10795=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10796=DIRECTION('center_axis',(-0.79222423172973,0.610230093210945,-4.16206567905675E-16))!#10797=DIRECTION('ref_axis',(-0.610230093210945,-0.79222423172973,1.76465114999966E-16))!#10798=DIRECTION('',(0.610230093210945,0.79222423172973,1.35498299960389E-16))!#10799=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10800=DIRECTION('',(0.610230093210945,0.792224231729731,-1.76465114999966E-16))!#10801=DIRECTION('center_axis',(-0.792224231729728,-0.610230093210947,6.43883348127472E-17))!#10802=DIRECTION('ref_axis',(0.610230093210948,-0.792224231729728,4.47461714920745E-16))!#10803=DIRECTION('',(-0.610230093210948,0.792224231729728,-1.3549829996039E-16))!#10804=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10805=DIRECTION('',(-0.610230093210947,0.792224231729728,-4.47461714920745E-16))!#10806=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10807=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10808=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10809=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10810=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10811=DIRECTION('center_axis',(0.792347750037486,0.610069703403254,-6.42977496656972E-17))!#10812=DIRECTION('ref_axis',(-0.610069703403254,0.792347750037486,-4.47474740480063E-16))!#10813=DIRECTION('',(0.610069703403254,-0.792347750037486,1.35462686268907E-16))!#10814=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10815=DIRECTION('',(0.610069703403254,-0.792347750037485,4.47474740480063E-16))!#10816=DIRECTION('center_axis',(0.792336324702724,-0.610084542138693,4.16174142195034E-16))!#10817=DIRECTION('ref_axis',(0.610084542138693,0.792336324702724,-1.76541573993209E-16))!#10818=DIRECTION('',(-0.610084542138693,-0.792336324702724,-1.35465981130055E-16))!#10819=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10820=DIRECTION('',(-0.610084542138693,-0.792336324702724,1.76541573993209E-16))!#10821=DIRECTION('center_axis',(0.792224231729728,0.610230093210948,-6.43883348127477E-17))!#10822=DIRECTION('ref_axis',(-0.610230093210948,0.792224231729728,-4.47461714920745E-16))!#10823=DIRECTION('',(0.610230093210948,-0.792224231729728,1.3549829996039E-16))!#10824=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10825=DIRECTION('',(0.610230093210948,-0.792224231729728,4.47461714920745E-16))!#10826=DIRECTION('center_axis',(0.792212795079337,-0.610244940423585,4.16209875019981E-16))!#10827=DIRECTION('ref_axis',(0.610244940423585,0.792212795079337,-1.7645731471272E-16))!#10828=DIRECTION('',(-0.610244940423585,-0.792212795079337,-1.35501596703854E-16))!#10829=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10830=DIRECTION('',(-0.610244940423585,-0.792212795079337,1.7645731471272E-16))!#10831=DIRECTION('center_axis',(0.792336324702724,-0.610084542138693,4.16174142195034E-16))!#10832=DIRECTION('ref_axis',(0.610084542138693,0.792336324702724,-1.76541573993209E-16))!#10833=DIRECTION('',(-0.610084542138693,-0.792336324702724,-1.35465981130055E-16))!#10834=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10835=DIRECTION('',(-0.610084542138693,-0.792336324702724,1.76541573993209E-16))!#10836=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10837=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10838=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10839=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10840=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10841=DIRECTION('center_axis',(-0.792212795079337,0.610244940423584,-4.16209875019981E-16))!#10842=DIRECTION('ref_axis',(-0.610244940423584,-0.792212795079337,1.7645731471272E-16))!#10843=DIRECTION('',(0.610244940423584,0.792212795079337,1.35501596703854E-16))!#10844=DIRECTION('',(0.610244940423584,0.792212795079337,-1.7645731471272E-16))!#10845=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10846=DIRECTION('ref_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10847=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10848=DIRECTION('ref_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10849=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10850=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10851=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10852=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10853=DIRECTION('ref_axis',(0.710872254712008,0.70332114818244,-1.19109657490559E-16))!#10854=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10855=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10856=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10857=DIRECTION('ref_axis',(0.976656323382046,0.214807881600896,1.32273851914679E-16))!#10858=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10859=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10860=DIRECTION('ref_axis',(0.890068373519251,0.455827040071994,1.81385277350266E-17))!#10861=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10862=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10863=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10864=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10865=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10866=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10867=DIRECTION('center_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10868=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10869=DIRECTION('',(-1.97301290434076E-15,1.,-4.38096870856333E-31))!#10870=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10871=DIRECTION('',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10872=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10873=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10874=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10875=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10876=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10877=DIRECTION('center_axis',(-0.999999923061255,-0.000392272206772447, -2.21890118219698E-16))!#10878=DIRECTION('ref_axis',(0.000392272206772447,-0.999999923061255,3.93868784516139E-16))!#10879=DIRECTION('',(0.000392272206772447,-0.999999923061255,8.71019271758581E-20))!#10880=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10881=DIRECTION('',(-0.000392272206772447,0.999999923061255,-3.93868784516139E-16))!#10882=DIRECTION('center_axis',(-0.999999764732904,-0.000685954908551901, -2.2177443618639E-16))!#10883=DIRECTION('ref_axis',(0.000685954908551901,-0.999999764732904,3.9393393282882E-16))!#10884=DIRECTION('',(0.000685954908551901,-0.999999764732904,1.52312586665793E-19))!#10885=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10886=DIRECTION('',(-0.000685954908551901,0.999999764732904,-3.9393393282882E-16))!#10887=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10888=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10889=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10890=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10891=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10892=DIRECTION('center_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10893=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10894=DIRECTION('',(-1.97301290434076E-15,1.,-4.38096870856333E-31))!#10895=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10896=DIRECTION('',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10897=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10898=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10899=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10900=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10901=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10902=DIRECTION('center_axis',(0.999996358974094,0.00269852525554314,2.20981166557479E-16))!#10903=DIRECTION('ref_axis',(-0.00269852525554314,0.999996358974094,-3.94379472090863E-16))!#10904=DIRECTION('',(-0.00269852525554314,0.999996358974094,-5.99192974247296E-19))!#10905=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10906=DIRECTION('',(0.00269852525554314,-0.999996358974094,3.94379472090864E-16))!#10907=DIRECTION('center_axis',(0.99999327831726,0.00366651337087427,2.20599306496141E-16))!#10908=DIRECTION('ref_axis',(-0.00366651337087427,0.99999327831726,-3.9459319552318E-16))!#10909=DIRECTION('',(-0.00366651337087427,0.99999327831726,-8.14129512888121E-19))!#10910=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10911=DIRECTION('',(0.00366651337087427,-0.99999327831726,3.94593195523179E-16))!#10912=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10913=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10914=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10915=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10916=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10917=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10918=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10919=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10920=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10921=DIRECTION('ref_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10922=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10923=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10924=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10925=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10926=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10927=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10928=DIRECTION('ref_axis',(0.873827889860735,0.486235353405669,2.5581782467703E-18))!#10929=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10930=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10931=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10932=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10933=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10934=DIRECTION('center_axis',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10935=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10936=DIRECTION('',(1.97301290434076E-15,-1.,4.38096870856333E-31))!#10937=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10938=DIRECTION('',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10939=DIRECTION('center_axis',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10940=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10941=DIRECTION('',(1.97301290434076E-15,-1.,4.38096870856333E-31))!#10942=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10943=DIRECTION('',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10944=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10945=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10946=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10947=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10948=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10949=DIRECTION('center_axis',(-0.999996585717186,-0.0026131502006389, -2.21014836039917E-16))!#10950=DIRECTION('ref_axis',(0.0026131502006389,-0.999996585717186,3.9436060430781E-16))!#10951=DIRECTION('',(0.0026131502006389,-0.999996585717186,5.80235903910631E-19))!#10952=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10953=DIRECTION('',(-0.0026131502006389,0.999996585717186,-3.9436060430781E-16))!#10954=DIRECTION('center_axis',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10955=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10956=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10957=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10958=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10959=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10960=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10961=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10962=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10963=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10964=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10965=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10966=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10967=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10968=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10969=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10970=DIRECTION('center_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10971=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10972=DIRECTION('',(-1.97301290434076E-15,1.,-4.38096870856333E-31))!#10973=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10974=DIRECTION('',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10975=DIRECTION('center_axis',(-0.00141202909218237,-0.999999003086425, 3.93467786877783E-16))!#10976=DIRECTION('ref_axis',(0.999999003086425,-0.00141202909218237,2.22600414800315E-16))!#10977=DIRECTION('',(0.999999003086425,-0.00141202909218237,2.2204438356575E-16))!#10978=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10979=DIRECTION('',(-0.999999003086424,0.00141202909218237,-2.22600414800315E-16))!#10980=DIRECTION('center_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#10981=DIRECTION('ref_axis',(2.22044604925031E-16,-3.93781712886034E-16, -1.))!#10982=DIRECTION('',(-1.97301290434076E-15,1.,-4.38096870856333E-31))!#10983=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10984=DIRECTION('',(1.97301290434076E-15,-1.,3.93781712886035E-16))!#10985=DIRECTION('center_axis',(-0.00136496154498036,-0.999999068439557, 3.93478263707574E-16))!#10986=DIRECTION('ref_axis',(0.999999068439556,-0.00136496154498036,2.22581894972267E-16))!#10987=DIRECTION('',(0.999999068439556,-0.00136496154498036,2.22044398077061E-16))!#10988=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10989=DIRECTION('',(-0.999999068439556,0.00136496154498036,-2.22581894972267E-16))!#10990=DIRECTION('center_axis',(0.999999923076343,-0.000392233741172594, 2.22199042318999E-16))!#10991=DIRECTION('ref_axis',(0.000392233741172594,0.999999923076343,-3.93694589208808E-16))!#10992=DIRECTION('',(0.000392233741172594,0.999999923076343,8.70933860969355E-20))!#10993=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10994=DIRECTION('',(-0.000392233741172594,-0.999999923076343,3.93694589208808E-16))!#10995=DIRECTION('center_axis',(-1.97301290434076E-15,1.,-3.93781712886035E-16))!#10996=DIRECTION('ref_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#10997=DIRECTION('',(-1.,-1.97301290434076E-15,-2.22044604925031E-16))!#10998=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#10999=DIRECTION('',(1.,1.97301290434076E-15,2.22044604925031E-16))!#11000=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#11001=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#11002=DIRECTION('',(2.22044604925031E-16,-3.93781712886034E-16,-1.))!#11003=DIRECTION('center_axis',(-2.22044604925031E-16,3.93781712886034E-16, 1.))!#11004=DIRECTION('ref_axis',(1.,1.97301290434076E-15,2.22044604925031E-16))!#11005=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11006=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025508E-17))!#11007=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11008=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11009=DIRECTION('ref_axis',(-2.6631693067786E-16,1.,-2.42593183076438E-16))!#11010=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11011=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11012=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11013=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11014=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11015=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11016=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11017=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11018=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11019=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11020=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11021=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11022=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11023=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11024=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#11025=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11026=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11027=DIRECTION('',(0.707106781186547,0.707106781186548,1.43194564410109E-15))!#11028=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11029=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#11030=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11031=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11032=DIRECTION('',(-0.707106781186548,0.707106781186547,-8.58664561206726E-17))!#11033=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#11034=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11035=DIRECTION('',(0.707106781186548,-0.707106781186547,8.58664561206728E-17))!#11036=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11037=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#11038=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11039=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.43194564410109E-15))!#11040=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11041=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025508E-17))!#11042=DIRECTION('center_axis',(1.0732552286338E-15,9.51821721835035E-16, -1.))!#11043=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#11044=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11045=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#11046=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11047=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#11048=DIRECTION('',(0.707106781186547,0.707106781186548,1.43194564410109E-15))!#11049=DIRECTION('',(-0.707106781186548,0.707106781186547,-8.58664561206726E-17))!#11050=DIRECTION('',(-0.707106781186547,-0.707106781186548,-1.43194564410109E-15))!#11051=DIRECTION('',(0.707106781186548,-0.707106781186547,8.58664561206728E-17))!#11052=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11053=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025508E-17))!#11054=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11055=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11056=DIRECTION('ref_axis',(6.21861489022265E-16,1.,-2.42593183076438E-16))!#11057=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11058=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11059=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11060=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11061=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11062=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11063=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11064=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11065=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11066=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11067=DIRECTION('center_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11068=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11069=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11070=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,-8.70850234713364E-17))!#11071=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,2.11232827124364E-16))!#11072=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11073=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11074=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11075=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,1.01271952937757E-16))!#11076=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11077=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11078=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,-1.01271952937757E-16))!#11079=DIRECTION('ref_axis',(-2.20974249135136E-16,7.77540798025507E-17, 1.))!#11080=DIRECTION('',(2.20974249135136E-16,-7.77540798025507E-17,-1.))!#11081=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-2.11232827124364E-16))!#11082=DIRECTION('ref_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11083=DIRECTION('center_axis',(2.20974249135136E-16,-7.77540798025507E-17, -1.))!#11084=DIRECTION('ref_axis',(3.88781610592596E-16,-1.,7.77540798025508E-17))!#11085=DIRECTION('center_axis',(0.,1.,0.))!#11086=DIRECTION('ref_axis',(1.,0.,1.77635683940025E-15))!#11087=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11088=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#11089=DIRECTION('center_axis',(-1.3987061727561E-15,3.61207163791073E-17, 1.))!#11090=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-15))!#11091=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11092=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#11093=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11094=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#11095=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11096=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#11097=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11098=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#11099=DIRECTION('',(0.707106781186548,0.707106781186547,9.06556057864998E-16))!#11100=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11101=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#11102=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11103=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#11104=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#11105=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#11106=DIRECTION('',(-3.33270459361338E-16,1.,-3.61207163791078E-17))!#11107=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11108=DIRECTION('ref_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#11109=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#11110=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11111=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#11112=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#11113=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11114=DIRECTION('ref_axis',(0.707106781186551,6.87552547250487E-63,-0.707106781186544))!#11115=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#11116=DIRECTION('',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#11117=DIRECTION('',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11118=DIRECTION('',(-1.0732552286338E-15,-9.51821721835035E-16,1.))!#11119=DIRECTION('',(1.,-7.2144141403256E-16,1.0732552286338E-15))!#11120=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11121=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11122=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11123=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11124=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11125=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11126=DIRECTION('',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11127=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11128=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11129=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11130=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11131=DIRECTION('',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11132=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11133=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11134=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11135=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11136=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11137=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11138=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11139=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11140=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11141=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11142=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11143=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11144=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11145=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11146=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11147=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11148=DIRECTION('center_axis',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11149=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11150=DIRECTION('center_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#11151=DIRECTION('ref_axis',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11152=DIRECTION('',(1.0732552286338E-15,9.51821721835035E-16,-1.))!#11153=DIRECTION('',(7.2144141403256E-16,1.,9.51821721835036E-16))!#11154=DIRECTION('center_axis',(-1.0732552286338E-15,-9.51821721835035E-16, 1.))!#11155=DIRECTION('ref_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#11156=DIRECTION('',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#11157=DIRECTION('',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11158=DIRECTION('',(1.,9.72346137165803E-63,1.0732552286338E-15))!#11159=DIRECTION('center_axis',(1.,-7.21441414032561E-16,1.0732552286338E-15))!#11160=DIRECTION('ref_axis',(-1.0732552286338E-15,-9.51821721835035E-16, 1.))!#11161=DIRECTION('',(-1.0732552286338E-15,-9.51821721835035E-16,1.))!#11162=DIRECTION('center_axis',(-7.2144141403256E-16,-1.,-9.51821721835036E-16))!#11163=DIRECTION('ref_axis',(-1.,7.21441414032561E-16,-1.0732552286338E-15))!#11164=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11165=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11166=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#11167=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#11168=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#11169=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11170=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#11171=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11172=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11173=DIRECTION('ref_axis',(1.14423774522189E-17,-1.,3.93071815640036E-16))!#11174=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11175=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11176=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11177=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11178=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11179=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11180=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11181=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11182=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11183=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11184=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11185=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11186=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11187=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11188=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,-5.97019008275577E-18))!#11189=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11190=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11191=DIRECTION('',(0.707106781186547,-0.707106781186548,8.12857383200838E-17))!#11192=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11193=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,3.16799607122649E-16))!#11194=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11195=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11196=DIRECTION('',(-0.707106781186548,-0.707106781186548,-2.2954367871981E-16))!#11197=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#11198=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11199=DIRECTION('',(0.707106781186548,0.707106781186547,2.2954367871981E-16))!#11200=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11201=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,5.97019008275572E-18))!#11202=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11203=DIRECTION('',(-0.707106781186547,0.707106781186548,-8.12857383200837E-17))!#11204=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11205=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#11206=DIRECTION('center_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11207=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11208=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11209=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11210=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11211=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11212=DIRECTION('',(0.707106781186547,-0.707106781186548,8.12857383200838E-17))!#11213=DIRECTION('',(-0.707106781186548,-0.707106781186548,-2.2954367871981E-16))!#11214=DIRECTION('',(-0.707106781186547,0.707106781186548,-8.12857383200837E-17))!#11215=DIRECTION('',(0.707106781186548,0.707106781186547,2.2954367871981E-16))!#11216=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11217=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#11218=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11219=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11220=DIRECTION('ref_axis',(8.99620797152344E-16,-1.,3.93071815640036E-16))!#11221=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11222=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11223=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11224=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11225=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11226=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11227=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11228=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11229=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11230=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11231=DIRECTION('center_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11232=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11233=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11234=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-6.33936090922615E-17))!#11235=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,-5.97019008275577E-18))!#11236=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11237=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11238=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11239=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,3.16799607122649E-16))!#11240=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11241=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11242=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,-3.16799607122649E-16))!#11243=DIRECTION('ref_axis',(2.1978958858117E-16,-2.28232712366149E-16, -1.))!#11244=DIRECTION('',(-2.1978958858117E-16,2.28232712366149E-16,1.))!#11245=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,5.97019008275572E-18))!#11246=DIRECTION('ref_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11247=DIRECTION('center_axis',(-2.1978958858117E-16,2.28232712366149E-16, 1.))!#11248=DIRECTION('ref_axis',(1.11022302462516E-16,1.,-2.28232712366149E-16))!#11249=DIRECTION('center_axis',(0.,1.,0.))!#11250=DIRECTION('ref_axis',(1.,0.,0.))!#11251=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11252=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11253=DIRECTION('center_axis',(0.,-1.04834195021397E-16,1.))!#11254=DIRECTION('ref_axis',(-1.,0.,0.))!#11255=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11256=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11257=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11258=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11259=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11260=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11261=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11262=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11263=DIRECTION('',(0.707106781186549,0.707106781186546,3.16139284343359E-16))!#11264=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11265=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11266=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11267=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11268=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11269=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11270=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11271=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11272=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11273=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11274=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11275=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11276=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11277=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11278=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11279=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11280=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11281=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11282=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11283=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11284=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11285=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11286=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11287=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11288=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11289=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11290=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11291=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11292=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11293=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11294=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11295=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11296=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11297=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11298=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11299=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11300=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11301=DIRECTION('',(-2.1978958858117E-16,-1.04834195021397E-16,1.))!#11302=DIRECTION('',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#11303=DIRECTION('',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11304=DIRECTION('center_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11305=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#11306=DIRECTION('',(-2.1978958858117E-16,-1.04834195021397E-16,1.))!#11307=DIRECTION('',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#11308=DIRECTION('center_axis',(2.1978958858117E-16,1.04834195021397E-16, -1.))!#11309=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11310=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11311=DIRECTION('',(-1.,-9.72346137165803E-63,-2.1978958858117E-16))!#11312=DIRECTION('',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11313=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11314=DIRECTION('center_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#11315=DIRECTION('ref_axis',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#11316=DIRECTION('',(2.1978958858117E-16,1.04834195021397E-16,-1.))!#11317=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#11318=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#11319=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#11320=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#11321=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11322=DIRECTION('ref_axis',(0.70710678118655,6.87552547250487E-63,0.707106781186545))!#11323=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#11324=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#11325=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#11326=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#11327=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11328=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11329=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#11330=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11331=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11332=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11333=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11334=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11335=DIRECTION('ref_axis',(0.771460736347582,0.,-0.636276930490212))!#11336=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11337=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11338=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#11339=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#11340=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11341=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11342=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11343=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11344=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11345=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11346=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11347=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11348=DIRECTION('',(-0.59790473635796,0.342020143325669,-0.724935961171887))!#11349=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11350=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11351=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11352=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11353=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11354=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11355=DIRECTION('center_axis',(0.,-1.,0.))!#11356=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11357=DIRECTION('center_axis',(0.,-1.,0.))!#11358=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11359=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11360=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11361=DIRECTION('ref_axis',(0.771460736347582,0.,-0.636276930490212))!#11362=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11363=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11364=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#11365=DIRECTION('ref_axis',(-0.771460736347582,0.,0.636276930490212))!#11366=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11367=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11368=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11369=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11370=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11371=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11372=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11373=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11374=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11375=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11376=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11377=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11378=DIRECTION('',(-0.248613203082133,0.920504853452439,-0.301433724098259))!#11379=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11380=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11381=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11382=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#11383=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11384=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11385=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11386=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11387=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11388=DIRECTION('ref_axis',(0.771460736347583,0.,-0.636276930490212))!#11389=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11390=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11391=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#11392=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#11393=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11394=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11395=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11396=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11397=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11398=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11399=DIRECTION('center_axis',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11400=DIRECTION('ref_axis',(-0.771460736347582,-4.19413720061011E-17,0.636276930490212))!#11401=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11402=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11403=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11404=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11405=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11406=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11407=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11408=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11409=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11410=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11411=DIRECTION('',(0.248613203082131,0.920504853452441,0.301433724098256))!#11412=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11413=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11414=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11415=DIRECTION('ref_axis',(0.771460736347583,0.,-0.636276930490212))!#11416=DIRECTION('center_axis',(-0.636276930490212,1.87452659822377E-16, -0.771460736347583))!#11417=DIRECTION('ref_axis',(-0.771460736347583,0.,0.636276930490212))!#11418=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11419=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347582))!#11420=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11421=DIRECTION('center_axis',(-8.69156812727532E-17,-1.,-1.71298694417474E-16))!#11422=DIRECTION('ref_axis',(0.636276930490212,-1.87452659822377E-16,0.771460736347583))!#11423=DIRECTION('',(8.69156812727532E-17,1.,1.71298694417474E-16))!#11424=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11425=DIRECTION('ref_axis',(-1.80986193922334E-16,-0.985360223597725,0.170485277227802))!#11426=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11427=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11428=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11429=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11430=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#11431=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11432=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#11433=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11434=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11435=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#11436=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11437=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11438=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11439=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11440=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11441=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#11442=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11443=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#11444=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#11445=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#11446=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11447=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11448=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11449=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11450=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11451=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#11452=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11453=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11454=DIRECTION('',(0.342020143325669,-0.160203756963605,-0.925935730930735))!#11455=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11456=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11457=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11458=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11459=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11460=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11461=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11462=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11463=DIRECTION('ref_axis',(0.,-0.985360223597726,0.170485277227802))!#11464=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11465=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11466=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#11467=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#11468=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11469=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11470=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11471=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11472=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11473=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11474=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11475=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11476=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11477=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#11478=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11479=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#11480=DIRECTION('',(0.920504853452439,-0.0666139047620265,-0.385010912134785))!#11481=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11482=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597726))!#11483=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11484=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#11485=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11486=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11487=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11488=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11489=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11490=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#11491=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11492=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11493=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#11494=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#11495=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11496=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11497=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11498=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11499=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11500=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11501=DIRECTION('center_axis',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11502=DIRECTION('ref_axis',(1.80986193922334E-16,0.985360223597725,-0.170485277227802))!#11503=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11504=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11505=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11506=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11507=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11508=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11509=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11510=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11511=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11512=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11513=DIRECTION('',(0.920504853452439,0.0666139047620263,0.385010912134786))!#11514=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11515=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11516=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11517=DIRECTION('ref_axis',(0.,-0.985360223597725,0.170485277227802))!#11518=DIRECTION('center_axis',(3.94885657867139E-16,-0.170485277227802, -0.985360223597725))!#11519=DIRECTION('ref_axis',(0.,0.985360223597725,-0.170485277227802))!#11520=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11521=DIRECTION('ref_axis',(-3.94885657867138E-16,0.170485277227802,0.985360223597725))!#11522=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11523=DIRECTION('center_axis',(-1.,1.1101440565665E-16,-4.19960101576752E-16))!#11524=DIRECTION('ref_axis',(-3.94885657867139E-16,0.170485277227802,0.985360223597725))!#11525=DIRECTION('',(1.,-1.1101440565665E-16,4.19960101576752E-16))!#11526=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11527=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11528=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11529=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11530=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11531=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11532=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11533=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11534=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11535=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11536=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11537=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11538=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11539=DIRECTION('ref_axis',(4.3014583892196E-16,-6.49820616611685E-16, -1.))!#11540=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11541=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11542=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11543=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11544=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11545=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11546=DIRECTION('center_axis',(-1.,-2.16757773311513E-18,-4.3014583892196E-16))!#11547=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11548=DIRECTION('center_axis',(1.,1.51324288433321E-18,3.03589404558699E-16))!#11549=DIRECTION('ref_axis',(-4.3014583892196E-16,8.32667268468871E-17, 1.))!#11550=DIRECTION('center_axis',(2.16757773311504E-18,-1.,3.8609549635611E-16))!#11551=DIRECTION('ref_axis',(1.,2.16757773311521E-18,4.3014583892196E-16))!#11552=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11553=DIRECTION('',(-2.48569102482327E-15,3.8609549635611E-16,1.))!#11554=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11555=DIRECTION('center_axis',(-1.58922017772574E-16,-0.5,0.866025403784439))!#11556=DIRECTION('ref_axis',(-2.16950096842535E-16,0.866025403784439,0.5))!#11557=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11558=DIRECTION('',(-1.85243290169729E-15,0.866025403784439,0.5))!#11559=DIRECTION('center_axis',(-1.61089595505689E-16,0.500000000000001, 0.866025403784438))!#11560=DIRECTION('ref_axis',(-1.,4.22855256665793E-16,-4.3014583892196E-16))!#11561=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11562=DIRECTION('',(6.33258123125982E-16,0.866025403784438,-0.500000000000001))!#11563=DIRECTION('center_axis',(-2.16757773311493E-18,1.,-9.91753035374556E-16))!#11564=DIRECTION('ref_axis',(-1.,-2.16757773311536E-18,-4.3014583892196E-16))!#11565=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11566=DIRECTION('',(2.48569102482327E-15,-9.91753035374556E-16,-1.))!#11567=DIRECTION('center_axis',(1.58922017772574E-16,0.5,-0.866025403784439))!#11568=DIRECTION('ref_axis',(2.16950096842535E-16,-0.866025403784439,-0.499999999999999))!#11569=DIRECTION('',(1.,2.16757773311511E-18,1.84758791070012E-16))!#11570=DIRECTION('',(1.85243290169729E-15,-0.866025403784439,-0.5))!#11571=DIRECTION('center_axis',(1.61089595505689E-16,-0.5,-0.866025403784438))!#11572=DIRECTION('ref_axis',(1.,-4.22855256665793E-16,4.3014583892196E-16))!#11573=DIRECTION('',(-6.33258123125981E-16,-0.866025403784438,0.5))!#11574=DIRECTION('center_axis',(-1.,-1.51324288433321E-18,-3.03589404558699E-16))!#11575=DIRECTION('ref_axis',(1.51324288433319E-18,-1.,8.32667268468871E-17))!#11576=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11577=DIRECTION('ref_axis',(1.,-1.81071413615513E-30,2.27758979082636E-15))!#11578=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#11579=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#11580=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11581=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11582=DIRECTION('ref_axis',(1.,-1.81071413615513E-30,2.27758979082636E-15))!#11583=DIRECTION('',(-2.6323874538207E-15,-7.87432174928969E-32,1.))!#11584=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11585=DIRECTION('',(-1.,1.45462117623583E-30,-1.97341909914823E-15))!#11586=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#11587=DIRECTION('',(2.33899459582584E-15,7.81072656668522E-32,-1.))!#11588=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#11589=DIRECTION('ref_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11590=DIRECTION('center_axis',(-8.55662711735242E-31,1.,1.17070108876935E-15))!#11591=DIRECTION('ref_axis',(2.27758979082636E-15,1.17070108876935E-15, -1.))!#11592=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11593=DIRECTION('ref_axis',(1.,-1.81071413615513E-30,2.27758979082636E-15))!#11594=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#11595=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#11596=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11597=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11598=DIRECTION('ref_axis',(1.,-1.81071413615513E-30,2.27758979082636E-15))!#11599=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11600=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11601=DIRECTION('',(1.,-9.79830563010082E-31,1.56785817691073E-15))!#11602=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#11603=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11604=DIRECTION('ref_axis',(2.27758979082636E-15,1.17070108876935E-15, -1.))!#11605=DIRECTION('',(-8.55662711735242E-31,1.,1.17070108876935E-15))!#11606=DIRECTION('center_axis',(0.612372435695795,0.707106781186546,0.353553390593276))!#11607=DIRECTION('ref_axis',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11608=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11609=DIRECTION('',(0.377964473009224,-0.654653670707978,0.654653670707978))!#11610=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11611=DIRECTION('',(-0.755928946018454,0.654653670707978,4.9833087177367E-16))!#11612=DIRECTION('center_axis',(-1.39541802713076E-15,0.707106781186547, 0.707106781186548))!#11613=DIRECTION('ref_axis',(1.,-1.45462117623583E-30,1.97341909914823E-15))!#11614=DIRECTION('',(1.,-1.45462117623583E-30,1.97341909914823E-15))!#11615=DIRECTION('',(-1.,1.45462117623583E-30,-1.97341909914823E-15))!#11616=DIRECTION('',(-0.377964473009229,-0.654653670707978,0.654653670707976))!#11617=DIRECTION('center_axis',(-0.612372435695795,0.707106781186548,0.353553390593273))!#11618=DIRECTION('ref_axis',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#11619=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.86602540378444))!#11620=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#11621=DIRECTION('',(-0.755928946018454,-0.654653670707978,-1.67148013001806E-15))!#11622=DIRECTION('center_axis',(0.612372435695796,0.707106781186547,-0.353553390593272))!#11623=DIRECTION('ref_axis',(-0.499999999999999,1.01385688311236E-15,-0.86602540378444))!#11624=DIRECTION('',(0.499999999999998,-1.01385688311236E-15,0.866025403784439))!#11625=DIRECTION('',(-0.499999999999998,1.01385688311236E-15,-0.866025403784439))!#11626=DIRECTION('',(0.377964473009227,-0.654653670707977,-0.654653670707978))!#11627=DIRECTION('center_axis',(-0.612372435695794,0.707106781186548,-0.353553390593274))!#11628=DIRECTION('ref_axis',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11629=DIRECTION('',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11630=DIRECTION('',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11631=DIRECTION('',(-0.377964473009225,-0.654653670707976,-0.654653670707979))!#11632=DIRECTION('center_axis',(1.10864314883235E-15,0.707106781186549, -0.707106781186546))!#11633=DIRECTION('ref_axis',(-1.,9.79830563010082E-31,-1.56785817691073E-15))!#11634=DIRECTION('',(-1.,9.79830563010082E-31,-1.56785817691073E-15))!#11635=DIRECTION('',(1.,-9.79830563010082E-31,1.56785817691073E-15))!#11636=DIRECTION('center_axis',(1.56785817691073E-15,1.17070108876935E-15, -1.))!#11637=DIRECTION('ref_axis',(1.,-9.79830563010082E-31,1.56785817691073E-15))!#11638=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11639=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11640=DIRECTION('center_axis',(0.86602540378444,5.85350544384675E-16,-0.499999999999999))!#11641=DIRECTION('ref_axis',(0.499999999999998,-1.01385688311236E-15,0.866025403784439))!#11642=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11643=DIRECTION('',(0.499999999999998,1.08378886655757E-18,0.866025403784439))!#11644=DIRECTION('center_axis',(0.866025403784438,-5.85350544384678E-16, 0.500000000000002))!#11645=DIRECTION('ref_axis',(-0.500000000000002,-1.01385688311236E-15,0.866025403784438))!#11646=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11647=DIRECTION('',(-0.500000000000002,-1.0837888665577E-18,0.866025403784438))!#11648=DIRECTION('center_axis',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11649=DIRECTION('ref_axis',(1.,-1.81071413615513E-30,2.27758979082636E-15))!#11650=DIRECTION('center_axis',(-0.866025403784438,5.85350544384677E-16, -0.500000000000002))!#11651=DIRECTION('ref_axis',(0.500000000000002,1.01385688311236E-15,-0.866025403784438))!#11652=DIRECTION('',(0.500000000000002,1.0837888665577E-18,-0.866025403784438))!#11653=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11654=DIRECTION('center_axis',(-0.86602540378444,-5.85350544384675E-16, 0.499999999999998))!#11655=DIRECTION('ref_axis',(-0.499999999999998,1.01385688311236E-15,-0.86602540378444))!#11656=DIRECTION('',(-0.499999999999998,-1.08378886655757E-18,-0.86602540378444))!#11657=DIRECTION('',(8.55662711735242E-31,-1.,-1.17070108876935E-15))!#11658=DIRECTION('center_axis',(-1.97341909914823E-15,-1.17070108876935E-15, 1.))!#11659=DIRECTION('ref_axis',(-1.,1.45462117623583E-30,-1.97341909914823E-15))!#11660=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#11661=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11662=DIRECTION('',(-2.6323874538207E-15,-7.87432174928969E-32,1.))!#11663=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11664=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#11665=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#11666=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11667=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#11668=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11669=DIRECTION('',(2.33899459582584E-15,7.81072656668522E-32,-1.))!#11670=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#11671=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11672=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11673=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#11674=DIRECTION('center_axis',(-2.16757773311527E-18,1.,7.30373130630631E-32))!#11675=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11676=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#11677=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11678=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11679=DIRECTION('ref_axis',(2.40443578212135E-15,-0.254438611072001,-0.967088927242863))!#11680=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11681=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11682=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11683=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11684=DIRECTION('ref_axis',(2.40443578212135E-15,-0.254438611072001,-0.967088927242863))!#11685=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11686=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11687=DIRECTION('ref_axis',(2.40443578212135E-15,-0.254438611072001,-0.967088927242863))!#11688=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11689=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11690=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11691=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11692=DIRECTION('ref_axis',(2.40443578212135E-15,-0.254438611072001,-0.967088927242863))!#11693=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11694=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#11695=DIRECTION('ref_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11696=DIRECTION('',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#11697=DIRECTION('',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#11698=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.33899459582584E-15))!#11699=DIRECTION('ref_axis',(2.33899459582584E-15,7.81072656668522E-32, -1.))!#11700=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.33899459582584E-15))!#11701=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11702=DIRECTION('',(-2.87393020325877E-17,0.999935468816779,0.0113603786102149))!#11703=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.6323874538207E-15))!#11704=DIRECTION('ref_axis',(-2.6323874538207E-15,-7.87432174928969E-32, 1.))!#11705=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.6323874538207E-15))!#11706=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11707=DIRECTION('',(3.20723559809423E-17,-0.999935468816779,-0.0113603786102149))!#11708=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11709=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11710=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11711=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11712=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11713=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11714=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11715=DIRECTION('ref_axis',(-2.48569102482327E-15,-7.84252415798745E-32, 1.))!#11716=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11717=DIRECTION('center_axis',(-2.4855059957366E-15,-0.0113603786102149, 0.99993546881678))!#11718=DIRECTION('ref_axis',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#11719=DIRECTION('',(-3.0405829006765E-17,0.999935468816779,0.0113603786102149))!#11720=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11721=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11722=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11723=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11724=DIRECTION('',(-2.48569102482327E-15,-7.84252415798745E-32,1.))!#11725=DIRECTION('center_axis',(-2.4855059957366E-15,-0.0113603786102149, 0.99993546881678))!#11726=DIRECTION('ref_axis',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#11727=DIRECTION('',(3.0405829006765E-17,-0.999935468816779,-0.0113603786102149))!#11728=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11729=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11730=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11731=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11732=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11733=DIRECTION('center_axis',(2.16757773311527E-18,-1.,-7.30373130630631E-32))!#11734=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11735=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11736=DIRECTION('',(2.48569102482327E-15,7.84252415798745E-32,-1.))!#11737=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11738=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11739=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11740=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11741=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11742=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11743=DIRECTION('',(-2.47139920069466E-15,-0.106216889720432,0.994342985261181))!#11744=DIRECTION('',(-1.46621623763085E-15,0.808017840450823,0.589158017439455))!#11745=DIRECTION('center_axis',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11746=DIRECTION('ref_axis',(-2.46101753608989E-15,-0.139687759710125,0.990195601781368))!#11747=DIRECTION('center_axis',(2.66177685176652E-16,-0.994342985261181, -0.106216889720432))!#11748=DIRECTION('ref_axis',(2.47139920069466E-15,0.106216889720432,-0.994342985261181))!#11749=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11750=DIRECTION('',(2.47139920069466E-15,0.106216889720432,-0.994342985261181))!#11751=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11752=DIRECTION('center_axis',(-2.0072056481058E-15,-0.589158017439455, 0.808017840450823))!#11753=DIRECTION('ref_axis',(1.46621623763085E-15,-0.808017840450823,-0.589158017439455))!#11754=DIRECTION('',(1.46621623763085E-15,-0.808017840450823,-0.589158017439455))!#11755=DIRECTION('',(-1.,-2.16757773311527E-18,-2.48569102482327E-15))!#11756=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11757=DIRECTION('ref_axis',(-2.46101753608989E-15,-0.139687759710125,0.990195601781368))!#11758=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11759=DIRECTION('ref_axis',(-2.46101753608989E-15,-0.139687759710125,0.990195601781368))!#11760=DIRECTION('',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11761=DIRECTION('center_axis',(1.,2.16757773311527E-18,2.48569102482327E-15))!#11762=DIRECTION('ref_axis',(2.48569102482327E-15,7.84252415798745E-32, -1.))!#11763=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11764=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11765=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11766=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11767=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11768=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11769=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11770=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11771=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11772=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11773=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11774=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11775=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11776=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11777=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11778=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11779=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11780=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11781=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11782=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11783=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11784=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11785=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11786=DIRECTION('ref_axis',(1.31131245787211E-17,-0.997358146721755,-0.0726410845716517))!#11787=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11788=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11789=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11790=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11791=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11792=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11793=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11794=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11795=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11796=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11797=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11798=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11799=DIRECTION('ref_axis',(-2.14492166978648E-15,-0.310072289156628,0.950712982712011))!#11800=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11801=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11802=DIRECTION('ref_axis',(-2.14492166978648E-15,-0.310072289156628,0.950712982712011))!#11803=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11804=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11805=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11806=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11807=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#11808=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11809=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11810=DIRECTION('',(-6.33258123125981E-16,-0.866025403784438,0.500000000000001))!#11811=DIRECTION('',(-2.48569102482327E-15,-1.39286327462858E-15,1.))!#11812=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11813=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11814=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11815=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#11816=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11817=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11818=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11819=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11820=DIRECTION('',(2.48569102482327E-15,1.39286327462858E-15,-1.))!#11821=DIRECTION('',(6.33258123125981E-16,0.866025403784438,-0.500000000000001))!#11822=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11823=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#11824=DIRECTION('center_axis',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11825=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11826=DIRECTION('',(-1.84996144657058E-15,0.866710343988171,0.498811767727973))!#11827=DIRECTION('',(-2.48569102482327E-15,-1.39286327462858E-15,1.))!#11828=DIRECTION('center_axis',(1.80326506574165E-15,0.498811767727973, -0.866710343988171))!#11829=DIRECTION('ref_axis',(1.84996144657058E-15,-0.866710343988171,-0.498811767727973))!#11830=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11831=DIRECTION('',(1.84996144657058E-15,-0.866710343988171,-0.498811767727973))!#11832=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11833=DIRECTION('center_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#11834=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11835=DIRECTION('',(2.48569102482327E-15,1.39286327462858E-15,-1.))!#11836=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11837=DIRECTION('ref_axis',(-7.03890886597353E-16,1.,1.39286327462858E-15))!#11838=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11839=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11840=DIRECTION('center_axis',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11841=DIRECTION('ref_axis',(2.48569102482327E-15,1.39286327462858E-15, -1.))!#11842=DIRECTION('',(-1.,-7.03890886597349E-16,-2.48569102482327E-15))!#11843=DIRECTION('center_axis',(7.03890886597353E-16,-1.,-1.39286327462858E-15))!#11844=DIRECTION('ref_axis',(-2.48569102482327E-15,-1.39286327462858E-15, 1.))!#11845=DIRECTION('',(1.,7.03890886597349E-16,2.48569102482327E-15))!#11846=DIRECTION('center_axis',(2.5046170167546E-15,-0.500000000000001, -0.866025403784438))!#11847=DIRECTION('ref_axis',(-6.33258123125981E-16,-0.866025403784438,0.500000000000001))!#11848=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11849=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11850=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11851=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11852=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11853=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11854=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11855=DIRECTION('center_axis',(-5.78409052676548E-32,-1.,8.3266726846887E-17))!#11856=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11857=DIRECTION('',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11858=DIRECTION('',(-2.22044604925031E-16,8.3266726846887E-17,1.))!#11859=DIRECTION('',(0.339318816940909,0.,-0.940671430665257))!#11860=DIRECTION('',(1.,0.,2.22044604925031E-16))!#11861=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169929E-16))!#11862=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11863=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11864=DIRECTION('ref_axis',(1.01936246561213E-17,-0.998404392142946,-0.0564683074801691))!#11865=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11866=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11867=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11868=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11869=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11870=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11871=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11872=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11873=DIRECTION('ref_axis',(1.01936246561213E-17,-0.998404392142946,-0.0564683074801691))!#11874=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11875=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11876=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11877=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11878=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11879=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11880=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11881=DIRECTION('center_axis',(-5.78409052676548E-32,-1.,8.3266726846887E-17))!#11882=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11883=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#11884=DIRECTION('',(-0.341925726677389,0.,-0.939726980264023))!#11885=DIRECTION('',(-2.22044604925031E-16,8.3266726846887E-17,1.))!#11886=DIRECTION('',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11887=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11888=DIRECTION('ref_axis',(-1.81202665545246E-16,8.3266726846887E-17, 1.))!#11889=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11890=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11891=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11892=DIRECTION('ref_axis',(9.60748470882497E-18,-0.998582739978175,-0.0532213436290463))!#11893=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11894=DIRECTION('ref_axis',(9.60748470882497E-18,-0.998582739978175,-0.0532213436290463))!#11895=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11896=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11897=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11898=DIRECTION('ref_axis',(-1.81202665545246E-16,8.3266726846887E-17, 1.))!#11899=DIRECTION('center_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11900=DIRECTION('ref_axis',(-1.80519394169928E-16,8.3266726846887E-17, 1.))!#11901=DIRECTION('',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11902=DIRECTION('',(2.22044604925031E-16,-8.3266726846887E-17,-1.))!#11903=DIRECTION('',(0.341925726677389,0.,0.939726980264023))!#11904=DIRECTION('',(1.,0.,2.22044604925031E-16))!#11905=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11906=DIRECTION('',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11907=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11908=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11909=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11910=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11911=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11912=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11913=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11914=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11915=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11916=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11917=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11918=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11919=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11920=DIRECTION('',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11921=DIRECTION('center_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11922=DIRECTION('ref_axis',(-1.80519394169928E-16,8.3266726846887E-17, 1.))!#11923=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#11924=DIRECTION('',(-0.339318816940889,8.96951341086405E-14,0.940671430665264))!#11925=DIRECTION('',(2.22044604925031E-16,-8.3266726846887E-17,-1.))!#11926=DIRECTION('',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11927=DIRECTION('center_axis',(1.,-4.28096461827418E-32,1.80519394169928E-16))!#11928=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11929=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11930=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11931=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11932=DIRECTION('ref_axis',(5.78409052676548E-32,1.,-8.3266726846887E-17))!#11933=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11934=DIRECTION('ref_axis',(1.80519394169928E-16,-8.3266726846887E-17, -1.))!#11935=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11936=DIRECTION('ref_axis',(-1.81202665545246E-16,8.3266726846887E-17, 1.))!#11937=DIRECTION('center_axis',(-1.,4.28096461827418E-32,-1.80519394169928E-16))!#11938=DIRECTION('ref_axis',(-1.81202665545246E-16,8.3266726846887E-17, 1.))!#11939=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11940=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#11941=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11942=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#11943=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11944=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11945=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11946=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#11947=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11948=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11949=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11950=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#11951=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11952=DIRECTION('ref_axis',(0.,1.,0.))!#11953=DIRECTION('',(-0.342020143325667,0.939692620785909,3.91354284216347E-17))!#11954=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11955=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11956=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11957=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11958=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11959=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11960=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11961=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11962=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11963=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11964=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11965=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11966=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11967=DIRECTION('ref_axis',(0.,1.,0.))!#11968=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#11969=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11970=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11971=DIRECTION('ref_axis',(0.,1.,0.))!#11972=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#11973=DIRECTION('ref_axis',(0.,1.,0.))!#11974=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11975=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11976=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#11977=DIRECTION('ref_axis',(0.,1.,0.))!#11978=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11979=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#11980=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11981=DIRECTION('ref_axis',(0.,1.,0.))!#11982=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#11983=DIRECTION('ref_axis',(0.,1.,0.))!#11984=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11985=DIRECTION('ref_axis',(2.16920498657531E-16,0.,-1.))!#11986=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11987=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11988=DIRECTION('center_axis',(6.72862439166762E-17,-1.,-1.22464679914735E-16))!#11989=DIRECTION('ref_axis',(-2.27738056333365E-16,-1.22464679914735E-16, 1.))!#11990=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11991=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11992=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11993=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11994=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11995=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11996=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11997=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#11998=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#11999=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12000=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#12001=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#12002=DIRECTION('',(-0.342020143325669,-0.939692620785908,-1.91022883623936E-16))!#12003=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12004=DIRECTION('ref_axis',(0.,1.,0.))!#12005=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12006=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12007=DIRECTION('center_axis',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#12008=DIRECTION('ref_axis',(0.,1.,0.))!#12009=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12010=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12011=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12012=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12013=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12014=DIRECTION('ref_axis',(0.,1.,0.))!#12015=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12016=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12017=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12018=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12019=DIRECTION('',(-0.342020143325669,0.939692620785908,3.91354284216343E-17))!#12020=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12021=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12022=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12023=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12024=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12025=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12026=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12027=DIRECTION('ref_axis',(2.65408610122155E-16,0.,-1.))!#12028=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12029=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12030=DIRECTION('center_axis',(2.90022391625372E-32,-1.,-1.30614473485313E-16))!#12031=DIRECTION('ref_axis',(-2.089831575765E-16,-1.30614473485313E-16, 1.))!#12032=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12033=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12034=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12035=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12036=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12037=DIRECTION('ref_axis',(0.,1.,0.))!#12038=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12039=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12040=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12041=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12042=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12043=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12044=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12045=DIRECTION('center_axis',(-1.,-3.36431219583381E-17,-2.22044604925031E-16))!#12046=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12047=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12048=DIRECTION('ref_axis',(2.14854589146507E-16,0.,-1.))!#12049=DIRECTION('center_axis',(6.72862439166762E-17,-1.,-1.22464679914735E-16))!#12050=DIRECTION('ref_axis',(-2.53765262771464E-16,-1.22464679914735E-16, 1.))!#12051=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12052=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12053=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12054=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12055=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12056=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12057=DIRECTION('center_axis',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12058=DIRECTION('ref_axis',(-6.72862439166761E-17,1.,-1.49405474473676E-32))!#12059=DIRECTION('',(1.,6.72862439166761E-17,2.22044604925031E-16))!#12060=DIRECTION('',(-1.,-6.72862439166761E-17,-2.22044604925031E-16))!#12061=DIRECTION('center_axis',(0.,1.,0.))!#12062=DIRECTION('ref_axis',(-1.,0.,-2.22044604925031E-16))!#12063=DIRECTION('center_axis',(0.,1.,0.))!#12064=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12065=DIRECTION('center_axis',(0.,1.,0.))!#12066=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12067=DIRECTION('center_axis',(0.,1.,0.))!#12068=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12069=DIRECTION('',(5.71859576705421E-18,-0.998349696889689,-0.0574271949538317))!#12070=DIRECTION('center_axis',(0.,1.,0.))!#12071=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12072=DIRECTION('center_axis',(0.,1.,0.))!#12073=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12074=DIRECTION('center_axis',(0.,-1.,0.))!#12075=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#12076=DIRECTION('center_axis',(0.,1.,0.))!#12077=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12078=DIRECTION('center_axis',(0.,1.,0.))!#12079=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12080=DIRECTION('',(0.,-1.,0.))!#12081=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12082=DIRECTION('ref_axis',(-2.35922392732846E-16,1.,-5.23852944873328E-32))!#12083=DIRECTION('',(-1.,-2.35922392732846E-16,-2.22044604925031E-16))!#12084=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12085=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12086=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12087=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12088=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12089=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12090=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12091=DIRECTION('ref_axis',(0.,0.,-1.))!#12092=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12093=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12094=DIRECTION('center_axis',(2.35922392732846E-16,-1.,-1.39650694921403E-16))!#12095=DIRECTION('ref_axis',(0.,-1.39650694921403E-16,1.))!#12096=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12097=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12098=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12099=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12100=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12101=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12102=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12103=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12104=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12105=DIRECTION('ref_axis',(0.,0.,-1.))!#12106=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12107=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12108=DIRECTION('center_axis',(2.35922392732846E-16,-1.,-1.12757516892337E-16))!#12109=DIRECTION('ref_axis',(-3.60824054055478E-16,-1.12757516892337E-16, 1.))!#12110=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12111=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12112=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12113=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12114=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12115=DIRECTION('ref_axis',(-2.35922392732846E-16,1.,-5.23852944873328E-32))!#12116=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12117=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12118=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12119=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12120=DIRECTION('',(-0.499902762747606,0.866081536460229,-4.93631331255983E-18))!#12121=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12122=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12123=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12124=DIRECTION('ref_axis',(3.83411703035254E-16,0.,-1.))!#12125=DIRECTION('center_axis',(2.35922392732846E-16,-1.,-1.13212117037969E-16))!#12126=DIRECTION('ref_axis',(-3.01898978767917E-16,-1.13212117037969E-16, 1.))!#12127=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12128=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12129=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12130=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12131=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12132=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12133=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12134=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12135=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12136=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12137=DIRECTION('center_axis',(-1.,-2.35922392732846E-16,-2.22044604925031E-16))!#12138=DIRECTION('ref_axis',(-2.35922392732846E-16,1.,-5.23852944873328E-32))!#12139=DIRECTION('',(-0.34202014332567,-0.939692620785908,-1.91022883623936E-16))!#12140=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12141=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12142=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12143=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12144=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12145=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12146=DIRECTION('center_axis',(1.,2.35922392732846E-16,2.22044604925031E-16))!#12147=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12148=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12149=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12150=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12151=DIRECTION('ref_axis',(0.,1.,0.))!#12152=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12153=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12154=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12155=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12156=DIRECTION('',(-0.342020143325669,-0.939692620785908,3.91354284216343E-17))!#12157=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12158=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12159=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12160=DIRECTION('ref_axis',(0.,1.,0.))!#12161=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12162=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12163=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12164=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12165=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12166=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12167=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12168=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12169=DIRECTION('ref_axis',(-2.14782393384024E-16,0.,1.))!#12170=DIRECTION('center_axis',(2.71926214689378E-32,1.,-1.22464679914735E-16))!#12171=DIRECTION('ref_axis',(2.18861169728974E-16,-1.22464679914735E-16, -1.))!#12172=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12173=DIRECTION('ref_axis',(0.,1.,0.))!#12174=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12175=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12176=DIRECTION('ref_axis',(0.,1.,0.))!#12177=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12178=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12179=DIRECTION('ref_axis',(0.,1.,0.))!#12180=DIRECTION('',(1.,0.,2.22044604925031E-16))!#12181=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12182=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12183=DIRECTION('ref_axis',(0.,1.,0.))!#12184=DIRECTION('',(1.,0.,2.22044604925031E-16))!#12185=DIRECTION('center_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12186=DIRECTION('ref_axis',(-2.22044604925031E-16,6.13467251790762E-16, 1.))!#12187=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12188=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12189=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12190=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12191=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12192=DIRECTION('ref_axis',(-2.26730973471188E-16,6.09339491203817E-16, 1.))!#12193=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12194=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12195=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12196=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12197=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12198=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12199=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12200=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12201=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12202=DIRECTION('ref_axis',(-2.26730973471188E-16,6.09339491203817E-16, 1.))!#12203=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12204=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12205=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12206=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12207=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12208=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12209=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12210=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12211=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12212=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12213=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12214=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12215=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12216=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12217=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12218=DIRECTION('center_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12219=DIRECTION('ref_axis',(-2.22044604925031E-16,6.13467251790762E-16, 1.))!#12220=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12221=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12222=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12223=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12224=DIRECTION('ref_axis',(-2.26730973471188E-16,6.09339491203817E-16, 1.))!#12225=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12226=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12227=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12228=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12229=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12230=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12231=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12232=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12233=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12234=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12235=DIRECTION('center_axis',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12236=DIRECTION('ref_axis',(7.64169464313038E-16,-0.997753924987495,-0.0669858580003919))!#12237=DIRECTION('center_axis',(7.50982378693392E-16,-1.,6.13467251790762E-16))!#12238=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12239=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12240=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12241=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12242=DIRECTION('ref_axis',(7.64169464313038E-16,-0.997753924987495,-0.0669858580003919))!#12243=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12244=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12245=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12246=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12247=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12248=DIRECTION('ref_axis',(-2.26730973471188E-16,6.09339491203817E-16, 1.))!#12249=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12250=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12251=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12252=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12253=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12254=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12255=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12256=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12257=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12258=DIRECTION('ref_axis',(7.65057953746091E-16,-0.997388495749106,-0.0722231856631559))!#12259=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12260=DIRECTION('center_axis',(7.50982378693392E-16,-1.,6.13467251790762E-16))!#12261=DIRECTION('ref_axis',(2.22044604925031E-16,-6.13467251790762E-16, -1.))!#12262=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12263=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12264=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12265=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12266=DIRECTION('center_axis',(-3.87687998961288E-16,-0.500000000000002, -0.866025403784437))!#12267=DIRECTION('ref_axis',(2.05247287074426E-15,-0.866025403784437,0.500000000000002))!#12268=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12269=DIRECTION('',(2.05247287074426E-15,-0.866025403784437,0.500000000000002))!#12270=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12271=DIRECTION('',(-2.05247287074426E-15,0.866025403784437,-0.500000000000002))!#12272=DIRECTION('center_axis',(1.58364964716226E-15,-1.,1.75432859013592E-16))!#12273=DIRECTION('ref_axis',(1.36198409121497E-15,1.75432859013594E-16, 1.))!#12274=DIRECTION('',(1.,7.50982378693392E-16,2.22044604925031E-16))!#12275=DIRECTION('',(1.36198409121497E-15,1.75432859013594E-16,1.))!#12276=DIRECTION('',(-1.36198409121497E-15,-1.75432859013594E-16,-1.))!#12277=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12278=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12279=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12280=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12281=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12282=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12283=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12284=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12285=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12286=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12287=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12288=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12289=DIRECTION('',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12290=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12291=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#12292=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12293=DIRECTION('ref_axis',(1.86972115923946E-15,-0.387979899497487,0.921667834735443))!#12294=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12295=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12296=DIRECTION('',(-1.36198409121496E-15,-6.06528357886857E-16,-1.))!#12297=DIRECTION('',(6.93191838292436E-16,-0.866710343988172,-0.498811767727972))!#12298=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#12299=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12300=DIRECTION('',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12301=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12302=DIRECTION('',(1.36198409121496E-15,6.06528357886857E-16,1.))!#12303=DIRECTION('center_axis',(-1.97038878016613E-15,0.498811767727972, -0.866710343988172))!#12304=DIRECTION('ref_axis',(6.93191838292436E-16,-0.866710343988172,-0.498811767727972))!#12305=DIRECTION('',(-6.93191838292436E-16,0.866710343988172,0.498811767727972))!#12306=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12307=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#12308=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12309=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12310=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12311=DIRECTION('ref_axis',(1.86972115923946E-15,-0.387979899497487,0.921667834735443))!#12312=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12313=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#12314=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12315=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12316=DIRECTION('',(1.36198409121496E-15,9.16296021299987E-16,1.))!#12317=DIRECTION('',(-6.90488779529298E-16,0.866025403784439,0.499999999999999))!#12318=DIRECTION('',(-2.05247287074426E-15,0.866025403784438,-0.500000000000001))!#12319=DIRECTION('',(-1.36198409121496E-15,-1.52195356031843E-15,-1.))!#12320=DIRECTION('',(6.90488779529298E-16,-0.866025403784439,-0.499999999999999))!#12321=DIRECTION('',(2.05247287074426E-15,-0.866025403784438,0.500000000000001))!#12322=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12323=DIRECTION('ref_axis',(-1.58364964716226E-15,1.,-6.06528357886855E-16))!#12324=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.36198409121497E-15))!#12325=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12326=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.36198409121497E-15))!#12327=DIRECTION('ref_axis',(-1.36198409121496E-15,-6.06528357886857E-16, -1.))!#12328=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12329=DIRECTION('ref_axis',(7.67265130511796E-16,-0.996312018563968,-0.0858042054038867))!#12330=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12331=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12332=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12333=DIRECTION('',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12334=DIRECTION('center_axis',(-1.,-7.50982378693392E-16,-2.22044604925031E-16))!#12335=DIRECTION('ref_axis',(-7.50982378693392E-16,1.,-6.13467251790762E-16))!#12336=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12337=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12338=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12339=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12340=DIRECTION('ref_axis',(1.72231242535435E-15,-0.171148966852045,0.985245162964771))!#12341=DIRECTION('',(2.69958007215275E-16,-0.767134240534499,-0.641486599236148))!#12342=DIRECTION('',(-1.72341076614848E-15,0.171976570858543,-0.985101040033832))!#12343=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12344=DIRECTION('ref_axis',(1.72231242535435E-15,-0.171148966852045,0.985245162964771))!#12345=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12346=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12347=DIRECTION('ref_axis',(1.72231242535435E-15,-0.171148966852045,0.985245162964771))!#12348=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12349=DIRECTION('center_axis',(2.14588366765588E-15,-0.641486599236148, 0.767134240534499))!#12350=DIRECTION('ref_axis',(2.69958007215275E-16,-0.767134240534499,-0.641486599236148))!#12351=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12352=DIRECTION('',(-2.69958007215275E-16,0.767134240534499,0.641486599236148))!#12353=DIRECTION('center_axis',(1.30673232603139E-15,-0.985101040033832, -0.171976570858543))!#12354=DIRECTION('ref_axis',(-1.72341076614848E-15,0.171976570858543,-0.985101040033832))!#12355=DIRECTION('',(1.72341076614848E-15,-0.171976570858543,0.985101040033832))!#12356=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12357=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12358=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12359=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12360=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12361=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12362=DIRECTION('',(-1.47300639367748E-15,-3.62123525610181E-17,-1.))!#12363=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12364=DIRECTION('ref_axis',(-8.97464576672891E-16,-0.315821844759941,-0.94881850865823))!#12365=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12366=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12367=DIRECTION('',(1.47300639367748E-15,3.62123525610181E-17,1.))!#12368=DIRECTION('',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#12369=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#12370=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12371=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12372=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12373=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12374=DIRECTION('',(1.47300639367748E-15,3.62123525610181E-17,1.))!#12375=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12376=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12377=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12378=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12379=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12380=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12381=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12382=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12383=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12384=DIRECTION('center_axis',(1.4909021984097E-15,-0.0113603786102149, 0.99993546881678))!#12385=DIRECTION('ref_axis',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#12386=DIRECTION('',(1.56848006902345E-15,-0.999935468816779,-0.0113603786102149))!#12387=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12388=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12389=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12390=DIRECTION('ref_axis',(-1.47300639367748E-15,-3.62123525610181E-17, -1.))!#12391=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12392=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12393=DIRECTION('',(-1.56681354204928E-15,0.999935468816779,0.0113603786102149))!#12394=DIRECTION('',(-1.47300639367748E-15,-3.62123525610181E-17,-1.))!#12395=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12396=DIRECTION('ref_axis',(-8.97464576672891E-16,-0.315821844759941,-0.94881850865823))!#12397=DIRECTION('center_axis',(1.4909021984097E-15,-0.0113603786102149, 0.99993546881678))!#12398=DIRECTION('ref_axis',(1.56681354204928E-15,-0.999935468816779,-0.0113603786102149))!#12399=DIRECTION('',(-1.5651470150751E-15,0.999935468816779,0.0113603786102149))!#12400=DIRECTION('',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12401=DIRECTION('',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12402=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12403=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12404=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.32630996468005E-15))!#12405=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12406=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.61970282267491E-15))!#12407=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12408=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.32630996468005E-15))!#12409=DIRECTION('ref_axis',(1.32630996468005E-15,3.62123525610179E-17, 1.))!#12410=DIRECTION('',(1.32630996468005E-15,3.62123525610179E-17,1.))!#12411=DIRECTION('',(1.32630996468005E-15,3.62123525610179E-17,1.))!#12412=DIRECTION('',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#12413=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.61970282267491E-15))!#12414=DIRECTION('ref_axis',(-1.61970282267491E-15,-3.62123525610183E-17, -1.))!#12415=DIRECTION('',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#12416=DIRECTION('',(-1.61970282267491E-15,-3.62123525610183E-17,-1.))!#12417=DIRECTION('',(-1.61970282267491E-15,-3.62123525610183E-17,-1.))!#12418=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#12419=DIRECTION('ref_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12420=DIRECTION('center_axis',(1.58364964716226E-15,-1.,3.62123525610158E-17))!#12421=DIRECTION('ref_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12422=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12423=DIRECTION('ref_axis',(-8.97464576672891E-16,-0.315821844759941,-0.94881850865823))!#12424=DIRECTION('center_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12425=DIRECTION('ref_axis',(-8.97464576672891E-16,-0.315821844759941,-0.94881850865823))!#12426=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-3.62123525610158E-17))!#12427=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12428=DIRECTION('',(0.866025403784437,1.38958700141728E-15,0.500000000000002))!#12429=DIRECTION('',(-2.44249065417534E-15,3.62123525610119E-17,1.))!#12430=DIRECTION('',(-0.86602540378444,-1.35337464885627E-15,0.499999999999998))!#12431=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-3.62123525610158E-17))!#12432=DIRECTION('ref_axis',(1.47300639367748E-15,3.62123525610181E-17, 1.))!#12433=DIRECTION('',(0.86602540378444,1.35337464885627E-15,-0.499999999999998))!#12434=DIRECTION('',(-0.866025403784438,-1.38958700141728E-15,-0.500000000000002))!#12435=DIRECTION('',(2.33146835171283E-15,-3.62123525610121E-17,-1.))!#12436=DIRECTION('center_axis',(0.500000000000002,4.4728099547058E-16,-0.866025403784437))!#12437=DIRECTION('ref_axis',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#12438=DIRECTION('',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#12439=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12440=DIRECTION('',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#12441=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12442=DIRECTION('center_axis',(1.,-1.40363108631449E-17,2.44249065417534E-15))!#12443=DIRECTION('ref_axis',(-2.44249065417534E-15,-5.24579474131952E-16, 1.))!#12444=DIRECTION('',(2.44249065417534E-15,5.24579474131952E-16,-1.))!#12445=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12446=DIRECTION('center_axis',(0.499999999999998,-4.61317306333725E-16, 0.86602540378444))!#12447=DIRECTION('ref_axis',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#12448=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#12449=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12450=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#12451=DIRECTION('center_axis',(1.40363108631437E-17,1.,5.24579474131952E-16))!#12452=DIRECTION('ref_axis',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#12453=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12454=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#12455=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12456=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#12457=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12458=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#12459=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#12460=DIRECTION('',(2.33146835171283E-15,5.24579474131952E-16,-1.))!#12461=DIRECTION('',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#12462=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#12463=DIRECTION('',(-2.44249065417534E-15,-5.24579474131952E-16,1.))!#12464=DIRECTION('',(0.866025403784437,-2.74445538848875E-16,0.500000000000002))!#12465=DIRECTION('center_axis',(-0.499999999999998,4.61317306333725E-16, -0.86602540378444))!#12466=DIRECTION('ref_axis',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#12467=DIRECTION('',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#12468=DIRECTION('',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#12469=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12470=DIRECTION('center_axis',(-1.,1.40363108631449E-17,-2.33146835171283E-15))!#12471=DIRECTION('ref_axis',(2.33146835171283E-15,5.24579474131952E-16, -1.))!#12472=DIRECTION('',(-2.33146835171283E-15,-5.24579474131952E-16,1.))!#12473=DIRECTION('',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12474=DIRECTION('center_axis',(-0.500000000000002,-4.4728099547058E-16, 0.866025403784438))!#12475=DIRECTION('ref_axis',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#12476=DIRECTION('',(0.866025403784438,-2.74445538848875E-16,0.500000000000002))!#12477=DIRECTION('',(-0.866025403784438,2.74445538848875E-16,-0.500000000000002))!#12478=DIRECTION('center_axis',(-0.353553390593275,0.707106781186548,0.612372435695794))!#12479=DIRECTION('ref_axis',(0.866025403784438,-2.74445538848875E-16,0.500000000000002))!#12480=DIRECTION('',(-2.8878963545017E-15,-0.654653670707977,0.755928946018454))!#12481=DIRECTION('',(-0.654653670707977,-0.654653670707978,0.377964473009227))!#12482=DIRECTION('center_axis',(0.353553390593273,0.707106781186547,0.612372435695796))!#12483=DIRECTION('ref_axis',(0.86602540378444,2.50133935283077E-16,-0.499999999999998))!#12484=DIRECTION('',(0.654653670707976,-0.654653670707978,0.377964473009228))!#12485=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,-1.21172111926228E-15))!#12486=DIRECTION('ref_axis',(-2.27595720048157E-15,-5.24579474131952E-16, 1.))!#12487=DIRECTION('',(0.654653670707976,0.654653670707978,0.377964473009228))!#12488=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,2.04438838773114E-15))!#12489=DIRECTION('ref_axis',(2.38697950294409E-15,5.24579474131952E-16, -1.))!#12490=DIRECTION('',(0.654653670707979,-0.654653670707976,-0.377964473009226))!#12491=DIRECTION('center_axis',(0.353553390593275,0.707106781186548,-0.612372435695793))!#12492=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#12493=DIRECTION('',(4.16465283282063E-15,-0.654653670707977,-0.755928946018455))!#12494=DIRECTION('center_axis',(-0.353553390593273,0.707106781186547,-0.612372435695796))!#12495=DIRECTION('ref_axis',(-0.86602540378444,-2.50133935283077E-16,0.499999999999998))!#12496=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12497=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#12498=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12499=DIRECTION('ref_axis',(-0.866025403784437,2.74445538848875E-16,-0.500000000000002))!#12500=DIRECTION('center_axis',(1.40363108631437E-17,1.,5.24579474131952E-16))!#12501=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#12502=DIRECTION('center_axis',(-1.40363108631437E-17,-1.,-5.24579474131952E-16))!#12503=DIRECTION('ref_axis',(-0.500000000000002,-4.4728099547058E-16,0.866025403784437))!#12504=DIRECTION('',(1.40363108631437E-17,1.,5.24579474131952E-16))!#12505=DIRECTION('center_axis',(-1.,-1.58299531231348E-15,1.59956282804074E-15))!#12506=DIRECTION('ref_axis',(1.58299531231348E-15,-1.,6.13467251790762E-16))!#12507=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12508=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12509=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12510=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12511=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12512=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12513=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12514=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12515=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12516=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12517=DIRECTION('center_axis',(1.,1.58299531231348E-15,-1.59956282804074E-15))!#12518=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12519=DIRECTION('center_axis',(-6.96347550479924E-16,-0.500000000000001, -0.866025403784438))!#12520=DIRECTION('ref_axis',(1.,1.15862681276335E-15,-1.47300639367748E-15))!#12521=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12522=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12523=DIRECTION('center_axis',(-2.27999719764218E-15,0.499999999999999, -0.866025403784439))!#12524=DIRECTION('ref_axis',(6.3497762829804E-16,-0.866025403784439,-0.499999999999999))!#12525=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12526=DIRECTION('center_axis',(-1.58364964716226E-15,1.,-1.52195356031843E-15))!#12527=DIRECTION('ref_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12528=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12529=DIRECTION('center_axis',(6.96347550479923E-16,0.500000000000001, 0.866025403784438))!#12530=DIRECTION('ref_axis',(-1.,-1.15862681276335E-15,1.47300639367748E-15))!#12531=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12532=DIRECTION('center_axis',(2.27999719764218E-15,-0.499999999999999, 0.866025403784439))!#12533=DIRECTION('ref_axis',(-6.34977628298039E-16,0.866025403784439,0.499999999999999))!#12534=DIRECTION('',(1.,1.58364964716226E-15,-1.71839344152943E-15))!#12535=DIRECTION('center_axis',(1.58364964716226E-15,-1.,9.16296021299985E-16))!#12536=DIRECTION('ref_axis',(1.,1.58364964716226E-15,-1.47300639367748E-15))!#12537=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12538=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12539=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12540=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12541=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12542=DIRECTION('ref_axis',(-1.47300639367748E-15,-7.359319317055E-16, -1.))!#12543=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12544=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12545=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12546=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12547=DIRECTION('center_axis',(-1.,-1.58364964716226E-15,1.47300639367748E-15))!#12548=DIRECTION('ref_axis',(1.47300639367748E-15,6.13467251790765E-16, 1.))!#12549=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12550=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12551=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12552=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12553=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12554=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12555=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12556=DIRECTION('ref_axis',(-4.02759896562898E-17,-1.,-5.55111512312578E-17))!#12557=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12558=DIRECTION('ref_axis',(-4.02759896562898E-17,-1.,-5.55111512312578E-17))!#12559=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12560=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12561=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12562=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12563=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12564=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12565=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12566=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12567=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12568=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12569=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12570=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12571=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12572=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12573=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12574=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12575=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12576=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12577=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12578=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12579=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12580=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12581=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12582=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12583=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12584=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12585=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12586=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12587=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12588=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12589=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12590=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12591=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12592=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12593=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12594=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12595=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12596=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12597=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12598=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12599=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12600=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12601=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12602=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12603=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12604=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12605=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12606=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12607=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12608=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12609=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12610=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12611=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12612=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12613=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12614=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12615=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12616=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12617=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12618=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12619=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12620=DIRECTION('center_axis',(-1.65011299175209E-16,0.669130606358859, 0.743144825477394))!#12621=DIRECTION('ref_axis',(1.48576841132199E-16,0.743144825477394,-0.669130606358859))!#12622=DIRECTION('',(-1.48576841132199E-16,-0.743144825477394,0.669130606358859))!#12623=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12624=DIRECTION('',(1.48576841132199E-16,0.743144825477394,-0.669130606358859))!#12625=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12626=DIRECTION('ref_axis',(1.65011299175209E-16,0.669130606358857,-0.743144825477395))!#12627=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12628=DIRECTION('ref_axis',(1.65011299175209E-16,0.669130606358857,-0.743144825477395))!#12629=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12630=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12631=DIRECTION('ref_axis',(1.65011299175209E-16,0.669130606358857,-0.743144825477395))!#12632=DIRECTION('center_axis',(1.65011299175209E-16,0.669130606358859, -0.743144825477393))!#12633=DIRECTION('ref_axis',(1.485768411322E-16,-0.743144825477393,-0.669130606358859))!#12634=DIRECTION('',(-1.485768411322E-16,0.743144825477393,0.669130606358859))!#12635=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12636=DIRECTION('',(1.485768411322E-16,-0.743144825477393,-0.669130606358859))!#12637=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12638=DIRECTION('ref_axis',(1.6501129917521E-16,0.669130606358854,-0.743144825477398))!#12639=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12640=DIRECTION('ref_axis',(1.6501129917521E-16,0.669130606358854,-0.743144825477398))!#12641=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12642=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12643=DIRECTION('ref_axis',(1.6501129917521E-16,0.669130606358854,-0.743144825477398))!#12644=DIRECTION('center_axis',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#12645=DIRECTION('ref_axis',(2.22044604925031E-16,-3.53542842809103E-16, -1.))!#12646=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#12647=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#12648=DIRECTION('',(2.22044604925031E-16,-3.53542842809103E-16,-1.))!#12649=DIRECTION('',(-2.22044604925031E-16,3.53542842809103E-16,1.))!#12650=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#12651=DIRECTION('ref_axis',(0.707106781186551,6.87552547250487E-63,-0.707106781186544))!#12652=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12653=DIRECTION('',(-5.24873374434254E-16,3.53542842809103E-16,1.))!#12654=DIRECTION('',(-0.866025403784439,1.76771421404551E-16,0.5))!#12655=DIRECTION('',(-0.866025403784438,-1.76771421404552E-16,-0.500000000000001))!#12656=DIRECTION('',(1.1305309134527E-15,-3.53542842809103E-16,-1.))!#12657=DIRECTION('',(0.866025403784439,-1.76771421404551E-16,-0.499999999999999))!#12658=DIRECTION('',(0.866025403784438,1.76771421404552E-16,0.500000000000001))!#12659=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12660=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12661=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12662=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12663=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12664=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.669130606358859,0.743144825477394))!#12665=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12666=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.669130606358859,0.743144825477394))!#12667=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12668=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12669=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.669130606358859,0.743144825477394))!#12670=DIRECTION('center_axis',(-1.65011299175209E-16,-0.669130606358859, 0.743144825477393))!#12671=DIRECTION('ref_axis',(-1.485768411322E-16,0.743144825477393,0.669130606358859))!#12672=DIRECTION('',(1.485768411322E-16,-0.743144825477393,-0.669130606358859))!#12673=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12674=DIRECTION('',(-1.485768411322E-16,0.743144825477393,0.669130606358859))!#12675=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12676=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.66913060635886,0.743144825477393))!#12677=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12678=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.66913060635886,0.743144825477393))!#12679=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12680=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12681=DIRECTION('ref_axis',(-1.65011299175209E-16,-0.66913060635886,0.743144825477393))!#12682=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12683=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12684=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12685=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12686=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12687=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12688=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12689=DIRECTION('ref_axis',(-7.59437276011504E-17,-0.939692620785909,0.342020143325668))!#12690=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12691=DIRECTION('ref_axis',(-7.59437276011504E-17,-0.939692620785909,0.342020143325668))!#12692=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12693=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12694=DIRECTION('ref_axis',(-7.59437276011504E-17,-0.939692620785909,0.342020143325668))!#12695=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12696=DIRECTION('center_axis',(1.6501129917521E-16,-0.669130606358857, -0.743144825477395))!#12697=DIRECTION('ref_axis',(-1.48576841132199E-16,-0.743144825477395,0.669130606358857))!#12698=DIRECTION('',(1.48576841132199E-16,0.743144825477395,-0.669130606358857))!#12699=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12700=DIRECTION('',(-1.48576841132199E-16,-0.743144825477395,0.669130606358857))!#12701=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12702=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12703=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12704=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12705=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12706=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12707=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12708=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12709=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12710=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12711=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12712=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12713=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12714=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12715=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12716=DIRECTION('ref_axis',(2.10932140857867E-16,0.312390087929656,-0.949953910967949))!#12717=DIRECTION('',(2.01240754513032E-16,0.422618261740701,-0.906307787036649))!#12718=DIRECTION('',(-2.01240754513032E-16,0.422618261740701,0.906307787036649))!#12719=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12720=DIRECTION('ref_axis',(2.01240754513032E-16,-0.422618261740699,-0.90630778703665))!#12721=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12722=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12723=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12724=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12725=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12726=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12727=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12728=DIRECTION('center_axis',(9.38401049623174E-17,0.906307787036649, -0.422618261740701))!#12729=DIRECTION('ref_axis',(-2.01240754513032E-16,0.422618261740701,0.906307787036649))!#12730=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12731=DIRECTION('',(2.01240754513032E-16,-0.422618261740701,-0.906307787036649))!#12732=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12733=DIRECTION('ref_axis',(2.01240754513032E-16,-0.422618261740699,-0.90630778703665))!#12734=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12735=DIRECTION('ref_axis',(2.01240754513032E-16,-0.422618261740699,-0.90630778703665))!#12736=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12737=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12738=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12739=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12740=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#12741=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12742=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#12743=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12744=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12745=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#12746=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12747=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12748=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#12749=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12750=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12751=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12752=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12753=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12754=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12755=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12756=DIRECTION('ref_axis',(-2.08112704731743E-16,-0.348641117889569,0.937256299480943))!#12757=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12758=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12759=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12760=DIRECTION('ref_axis',(2.10932140857867E-16,0.312390087929656,-0.949953910967949))!#12761=DIRECTION('center_axis',(9.38401049623174E-17,-0.906307787036649, -0.422618261740701))!#12762=DIRECTION('ref_axis',(2.01240754513032E-16,0.422618261740701,-0.906307787036649))!#12763=DIRECTION('',(-2.01240754513032E-16,-0.422618261740701,0.906307787036649))!#12764=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12765=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12766=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12767=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12768=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12769=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12770=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12771=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12772=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12773=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12774=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12775=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12776=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12777=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12778=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12779=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12780=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12781=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12782=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12783=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12784=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12785=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12786=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12787=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12788=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12789=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12790=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12791=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12792=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12793=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12794=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12795=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12796=DIRECTION('',(-0.707106781186548,0.707106781186548,-2.43604851491927E-16))!#12797=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12798=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12799=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12800=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12801=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12802=DIRECTION('ref_axis',(0.,1.,0.))!#12803=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12804=DIRECTION('ref_axis',(0.,-1.,0.))!#12805=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12806=DIRECTION('ref_axis',(0.,-1.,0.))!#12807=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12808=DIRECTION('ref_axis',(8.42971265537059E-33,1.,0.))!#12809=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12810=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12811=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12812=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12813=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#12814=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12815=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#12816=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12817=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#12818=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12819=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12820=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12821=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#12822=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12823=DIRECTION('ref_axis',(-2.22044604925031E-16,1.83697019872103E-16, 1.))!#12824=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12825=DIRECTION('ref_axis',(0.,-1.,0.))!#12826=DIRECTION('center_axis',(-2.22044604925031E-16,-1.22464679914735E-16, 1.))!#12827=DIRECTION('ref_axis',(0.,1.,1.22464679914735E-16))!#12828=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12829=DIRECTION('ref_axis',(0.70710678118655,6.87552547250487E-63,0.707106781186545))!#12830=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12831=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#12832=DIRECTION('ref_axis',(0.70710678118655,6.87552547250487E-63,0.707106781186545))!#12833=DIRECTION('center_axis',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#12834=DIRECTION('ref_axis',(2.22044604925031E-16,3.53542842809103E-16, -1.))!#12835=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#12836=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#12837=DIRECTION('',(-2.22044604925031E-16,-3.53542842809103E-16,1.))!#12838=DIRECTION('',(2.22044604925031E-16,3.53542842809103E-16,-1.))!#12839=DIRECTION('',(-5.24873374434254E-16,-3.53542842809103E-16,1.))!#12840=DIRECTION('',(-0.866025403784439,-1.76771421404551E-16,0.5))!#12841=DIRECTION('',(-0.866025403784438,1.76771421404552E-16,-0.500000000000001))!#12842=DIRECTION('',(1.1305309134527E-15,3.53542842809103E-16,-1.))!#12843=DIRECTION('',(0.866025403784439,1.76771421404551E-16,-0.499999999999999))!#12844=DIRECTION('',(0.866025403784438,-1.76771421404552E-16,0.500000000000001))!#12845=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12846=DIRECTION('ref_axis',(-0.707106781186548,-6.87552547250485E-63,0.707106781186547))!#12847=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12848=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12849=DIRECTION('ref_axis',(0.707106781186551,6.87552547250487E-63,-0.707106781186544))!#12850=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12851=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12852=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12853=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12854=DIRECTION('ref_axis',(-0.707106781186547,-6.87552547250485E-63,-0.707106781186548))!#12855=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12856=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12857=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12858=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12859=DIRECTION('ref_axis',(3.8557641006005E-17,-0.984807753012208,-0.17364817766693))!#12860=DIRECTION('',(2.08653676733374E-16,0.342020143325667,-0.939692620785909))!#12861=DIRECTION('',(2.08653676733374E-16,-0.342020143325668,-0.939692620785909))!#12862=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12863=DIRECTION('ref_axis',(-3.85576410060051E-17,-0.984807753012208,0.17364817766693))!#12864=DIRECTION('center_axis',(-7.59437276011505E-17,-0.939692620785909, 0.342020143325668))!#12865=DIRECTION('ref_axis',(-2.08653676733374E-16,0.342020143325668,0.939692620785909))!#12866=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12867=DIRECTION('',(-2.08653676733374E-16,0.342020143325668,0.939692620785909))!#12868=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12869=DIRECTION('ref_axis',(-3.85576410060051E-17,-0.984807753012208,0.17364817766693))!#12870=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12871=DIRECTION('ref_axis',(-3.85576410060051E-17,-0.984807753012208,0.17364817766693))!#12872=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12873=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12874=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12875=DIRECTION('ref_axis',(3.8557641006005E-17,-0.984807753012208,-0.17364817766693))!#12876=DIRECTION('',(-2.08653676733374E-16,-0.342020143325667,0.939692620785909))!#12877=DIRECTION('center_axis',(7.59437276011504E-17,-0.939692620785909, -0.342020143325667))!#12878=DIRECTION('ref_axis',(-2.08653676733374E-16,-0.342020143325667,0.939692620785909))!#12879=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12880=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12881=DIRECTION('ref_axis',(3.8557641006005E-17,-0.984807753012208,-0.17364817766693))!#12882=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12883=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12884=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12885=DIRECTION('ref_axis',(0.70710678118655,0.707106781186546,1.57009245868378E-16))!#12886=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12887=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12888=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,-1.57009245868377E-16))!#12889=DIRECTION('',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12890=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12891=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,-1.57009245868378E-16))!#12892=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12893=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12894=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,1.57009245868378E-16))!#12895=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12896=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12897=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12898=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12899=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12900=DIRECTION('',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12901=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12902=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12903=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12904=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12905=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12906=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12907=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#12908=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12909=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12910=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#12911=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12912=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#12913=DIRECTION('',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12914=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12915=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#12916=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12917=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12918=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12919=DIRECTION('',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12920=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12921=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12922=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#12923=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12924=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12925=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12926=DIRECTION('ref_axis',(-0.707106781186556,-6.87552547250492E-63,0.707106781186539))!#12927=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12928=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12929=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12930=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12931=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12932=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#12933=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12934=DIRECTION('ref_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12935=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12936=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#12937=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12938=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12939=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12940=DIRECTION('ref_axis',(0.707106781186555,6.87552547250492E-63,0.70710678118654))!#12941=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12942=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12943=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12944=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12945=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12946=DIRECTION('ref_axis',(-1.57009245868377E-16,0.707106781186552,0.707106781186543))!#12947=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12948=DIRECTION('ref_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12949=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12950=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12951=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12952=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#12953=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12954=DIRECTION('ref_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12955=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12956=DIRECTION('ref_axis',(-1.57009245868377E-16,-0.707106781186552,0.707106781186543))!#12957=DIRECTION('center_axis',(1.,9.72346137165803E-63,2.22044604925031E-16))!#12958=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12959=DIRECTION('center_axis',(-1.,-9.72346137165803E-63,-2.22044604925031E-16))!#12960=DIRECTION('ref_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12961=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12962=DIRECTION('ref_axis',(0.707106781186547,0.707106781186549,1.57009245868377E-16))!#12963=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12964=DIRECTION('center_axis',(-9.72346137165803E-63,1.,-2.15904213877361E-78))!#12965=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12966=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12967=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12968=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186549,-1.57009245868377E-16))!#12969=DIRECTION('center_axis',(-2.22044604925031E-16,0.,1.))!#12970=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186549,-1.57009245868377E-16))!#12971=DIRECTION('',(-2.22044604925031E-16,0.,1.))!#12972=DIRECTION('center_axis',(9.72346137165803E-63,-1.,2.15904213877361E-78))!#12973=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12974=DIRECTION('',(2.22044604925031E-16,0.,-1.))!#12975=DIRECTION('center_axis',(2.22044604925031E-16,0.,-1.))!#12976=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,1.57009245868377E-16))!#12977=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#12978=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,1.))!#12979=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12980=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12981=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12982=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12983=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12984=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12985=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12986=DIRECTION('ref_axis',(2.141746695606E-16,0.,-1.))!#12987=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12988=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12989=DIRECTION('center_axis',(2.71926214689378E-32,-1.,-1.22464679914735E-16))!#12990=DIRECTION('ref_axis',(-2.24855296126614E-16,-1.22464679914735E-16, 1.))!#12991=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12992=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12993=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12994=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#12995=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12996=DIRECTION('ref_axis',(0.,1.,0.))!#12997=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#12998=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#12999=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13000=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13001=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13002=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13003=DIRECTION('ref_axis',(0.,1.,0.))!#13004=DIRECTION('',(-0.342020143325664,0.93969262078591,3.91354284216357E-17))!#13005=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13006=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13007=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13008=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13009=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13010=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13011=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13012=DIRECTION('ref_axis',(2.24599100379921E-16,0.,-1.))!#13013=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13014=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13015=DIRECTION('center_axis',(2.71926214689378E-32,-1.,-1.22464679914735E-16))!#13016=DIRECTION('ref_axis',(-2.35799580451361E-16,-1.22464679914735E-16, 1.))!#13017=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13018=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13019=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13020=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13021=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13022=DIRECTION('ref_axis',(0.,1.,0.))!#13023=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13024=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13025=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13026=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13027=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13028=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13029=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13030=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13031=DIRECTION('ref_axis',(1.99643644782152E-16,0.,-1.))!#13032=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13033=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13034=DIRECTION('center_axis',(2.71926214689378E-32,-1.,-1.22464679914735E-16))!#13035=DIRECTION('ref_axis',(-1.57199720300907E-16,-1.22464679914735E-16, 1.))!#13036=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13037=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13038=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13039=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13040=DIRECTION('center_axis',(-1.,0.,-2.22044604925031E-16))!#13041=DIRECTION('ref_axis',(0.,1.,0.))!#13042=DIRECTION('',(-0.500000000000001,-0.866025403784438,-2.17079826335007E-16))!#13043=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13044=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13045=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13046=DIRECTION('ref_axis',(2.22044604925031E-16,0.,-1.))!#13047=DIRECTION('center_axis',(1.,0.,2.22044604925031E-16))!#13048=DIRECTION('ref_axis',(0.,1.,0.))!#13049=DIRECTION('',(-1.,0.,-2.22044604925031E-16))!#13050=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13051=DIRECTION('ref_axis',(-4.02759896562898E-17,-1.,-5.55111512312578E-17))!#13052=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13053=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#13054=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13055=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312578E-17, -1.))!#13056=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326338E-16))!#13057=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13058=DIRECTION('ref_axis',(-6.27321602326339E-16,-5.55111512312577E-17, 1.))!#13059=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13060=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312577E-17, -1.))!#13061=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13062=DIRECTION('ref_axis',(6.27321602326339E-16,5.55111512312577E-17, -1.))!#13063=DIRECTION('center_axis',(1.,-4.02759896562899E-17,6.27321602326339E-16))!#13064=DIRECTION('ref_axis',(-4.02759896562898E-17,-1.,-5.55111512312578E-17))!#13065=DIRECTION('',(-1.,4.02759896562899E-17,-6.27321602326339E-16))!#13066=DIRECTION('center_axis',(0.,1.,0.))!#13067=DIRECTION('ref_axis',(1.,0.,0.))!#13068=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13069=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13070=DIRECTION('center_axis',(0.,-1.04834195021397E-16,1.))!#13071=DIRECTION('ref_axis',(-1.,0.,0.))!#13072=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13073=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13074=DIRECTION('center_axis',(1.11022302462516E-16,1.,1.04834195021397E-16))!#13075=DIRECTION('ref_axis',(-1.,1.11022302462516E-16,-2.1978958858117E-16))!#13076=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13077=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13078=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13079=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13080=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13081=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#13082=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13083=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13084=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13085=DIRECTION('ref_axis',(-2.1978958858117E-16,-1.04834195021397E-16, 1.))!#13086=DIRECTION('',(0.707106781186549,0.707106781186546,3.16139284343359E-16))!#13087=DIRECTION('center_axis',(-1.11022302462516E-16,-1.,-1.04834195021397E-16))!#13088=DIRECTION('ref_axis',(1.,-1.11022302462516E-16,2.1978958858117E-16))!#13089=DIRECTION('',(1.11022302462516E-16,1.,1.04834195021397E-16))!#13090=DIRECTION('center_axis',(-4.44089209850063E-16,1.,0.))!#13091=DIRECTION('ref_axis',(1.,0.,1.77635683940025E-15))!#13092=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13093=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13094=DIRECTION('center_axis',(-1.3987061727561E-15,3.61207163791073E-17, 1.))!#13095=DIRECTION('ref_axis',(-1.,0.,-1.3987061727561E-15))!#13096=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13097=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13098=DIRECTION('center_axis',(-3.33270459361338E-16,1.,-3.61207163791078E-17))!#13099=DIRECTION('ref_axis',(-1.,-3.33270459361338E-16,-1.19571990854854E-15))!#13100=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13101=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13102=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13103=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13104=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13105=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#13106=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13107=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13108=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13109=DIRECTION('ref_axis',(-1.19571990854854E-15,3.61207163791074E-17, 1.))!#13110=DIRECTION('',(0.707106781186548,0.707106781186547,9.06556057864998E-16))!#13111=DIRECTION('center_axis',(3.33270459361338E-16,-1.,3.61207163791078E-17))!#13112=DIRECTION('ref_axis',(1.,3.33270459361338E-16,1.19571990854854E-15))!#13113=DIRECTION('',(-3.33270459361338E-16,1.,-3.61207163791078E-17))!#13114=DIRECTION('center_axis',(-8.72446465042511E-19,-1.,-2.10993704799938E-16))!#13115=DIRECTION('ref_axis',(1.,-8.72446465042558E-19,2.22044604925031E-16))!#13116=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13117=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13118=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13119=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13120=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13121=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13122=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13123=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13124=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13125=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13126=DIRECTION('center_axis',(8.72446465042511E-19,1.,2.10993704799938E-16))!#13127=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13128=DIRECTION('center_axis',(0.500000000000001,3.06177083198852E-16, -0.866025403784438))!#13129=DIRECTION('ref_axis',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13130=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13131=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13132=DIRECTION('center_axis',(-0.499999999999999,3.06177083198852E-16, -0.866025403784439))!#13133=DIRECTION('ref_axis',(0.866025403784439,1.76771421404551E-16,-0.499999999999999))!#13134=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13135=DIRECTION('center_axis',(-1.,3.2118883217002E-31,-1.1305309134527E-15))!#13136=DIRECTION('ref_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13137=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13138=DIRECTION('center_axis',(-0.500000000000001,-3.06177083198851E-16, 0.866025403784438))!#13139=DIRECTION('ref_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13140=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13141=DIRECTION('center_axis',(0.5,-3.06177083198852E-16,0.866025403784439))!#13142=DIRECTION('ref_axis',(-0.866025403784439,-1.76771421404551E-16,0.5))!#13143=DIRECTION('',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13144=DIRECTION('center_axis',(1.,-1.07062944056673E-31,5.24873374434254E-16))!#13145=DIRECTION('ref_axis',(-7.85022808556197E-32,1.,3.53542842809103E-16))!#13146=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13147=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13148=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13149=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13150=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13151=DIRECTION('ref_axis',(3.44509284839767E-16,3.53542842809103E-16, -1.))!#13152=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13153=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13154=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13155=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13156=DIRECTION('center_axis',(7.85022808556197E-32,-1.,-3.53542842809103E-16))!#13157=DIRECTION('ref_axis',(-2.22044604925031E-16,-3.53542842809103E-16, 1.))!#13158=DIRECTION('center_axis',(4.71944601077487E-32,-1.,-2.12544952955209E-16))!#13159=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#13160=DIRECTION('center_axis',(-4.71944601077487E-32,1.,2.12544952955209E-16))!#13161=DIRECTION('ref_axis',(2.22044604925031E-16,2.12544952955209E-16, -1.))!#13162=DIRECTION('center_axis',(-4.71944601077487E-32,1.,2.12544952955209E-16))!#13163=DIRECTION('ref_axis',(2.22044604925031E-16,2.90152774319087E-16, -1.))!#13164=DIRECTION('',(-2.43594381474384E-16,0.70713717098452,0.707076390082436))!#13165=DIRECTION('center_axis',(-8.72446465042668E-19,-1.,4.96091980818268E-16))!#13166=DIRECTION('ref_axis',(1.,-8.72446465042558E-19,2.22044604925031E-16))!#13167=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13168=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13169=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13170=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13171=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13172=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13173=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13174=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13175=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13176=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13177=DIRECTION('center_axis',(8.72446465042668E-19,1.,-4.96091980818268E-16))!#13178=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13179=DIRECTION('center_axis',(0.500000000000001,-3.06177083198852E-16, -0.866025403784438))!#13180=DIRECTION('ref_axis',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13181=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13182=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13183=DIRECTION('center_axis',(-0.499999999999999,-3.06177083198852E-16, -0.866025403784439))!#13184=DIRECTION('ref_axis',(0.866025403784439,-1.76771421404551E-16,-0.499999999999999))!#13185=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13186=DIRECTION('center_axis',(-1.,-3.2118883217002E-31,-1.1305309134527E-15))!#13187=DIRECTION('ref_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13188=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13189=DIRECTION('center_axis',(-0.500000000000001,3.06177083198851E-16, 0.866025403784438))!#13190=DIRECTION('ref_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13191=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13192=DIRECTION('center_axis',(0.5,3.06177083198852E-16,0.866025403784439))!#13193=DIRECTION('ref_axis',(-0.866025403784439,1.76771421404551E-16,0.5))!#13194=DIRECTION('',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13195=DIRECTION('center_axis',(1.,1.07062944056673E-31,5.24873374434254E-16))!#13196=DIRECTION('ref_axis',(7.85022808556197E-32,1.,-3.53542842809103E-16))!#13197=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13198=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13199=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13200=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13201=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13202=DIRECTION('ref_axis',(3.44509284839767E-16,-3.53542842809103E-16, -1.))!#13203=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13204=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13205=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13206=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13207=DIRECTION('center_axis',(-7.85022808556197E-32,-1.,3.53542842809103E-16))!#13208=DIRECTION('ref_axis',(-2.22044604925031E-16,3.53542842809103E-16, 1.))!#13209=DIRECTION('center_axis',(-1.09810101603491E-31,-1.,4.94540732662997E-16))!#13210=DIRECTION('ref_axis',(1.,0.,2.22044604925031E-16))!#13211=DIRECTION('center_axis',(1.09810101603491E-31,1.,-4.94540732662997E-16))!#13212=DIRECTION('ref_axis',(2.22044604925031E-16,-4.94540732662997E-16, -1.))!#13213=DIRECTION('center_axis',(1.09810101603491E-31,1.,-4.94540732662997E-16))!#13214=DIRECTION('ref_axis',(2.22044604925031E-16,-4.16932911299119E-16, -1.))!#13215=DIRECTION('',(-2.43594381474384E-16,0.707137170984521,0.707076390082435))!#13216=CARTESIAN_POINT('',(0.,0.,0.))!#13217=CARTESIAN_POINT('Origin',(-18.046,-6.77210196850394,-5.))!#13218=CARTESIAN_POINT('',(-18.046,-6.79500393700787,-4.7958))!#13219=CARTESIAN_POINT('Origin',(-18.046,-6.79500393700787,-5.))!#13220=CARTESIAN_POINT('',(-18.046,-6.79070356737613,-4.79150000000002))!#13221=CARTESIAN_POINT('',(-18.046,-6.77210196850394,-4.7729))!#13222=CARTESIAN_POINT('Origin',(-18.046,-6.79070356737613,-5.00000000000002))!#13223=CARTESIAN_POINT('Origin',(-18.046,-6.79500393700787,-4.875))!#13224=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13225=CARTESIAN_POINT('',(-18.2335,-5.7325,-4.67524047358084))!#13226=CARTESIAN_POINT('',(-18.421,-5.76599364905389,-4.7834936490539))!#13227=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,-4.67524047358084))!#13228=CARTESIAN_POINT('Ctrl Pts',(-18.3205190528383,-5.7325,-4.72548094716167))!#13229=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,-4.78349364905389))!#13230=CARTESIAN_POINT('',(-18.421,-5.7325,-5.))!#13231=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13232=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,-4.7834936490539))!#13233=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,-4.89951905283833))!#13234=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,-5.))!#13235=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13236=CARTESIAN_POINT('',(-18.421,-5.76599364905389,-5.21650635094611))!#13237=CARTESIAN_POINT('',(-18.2335,-5.7325,-5.32475952641917))!#13238=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,-5.21650635094611))!#13239=CARTESIAN_POINT('Ctrl Pts',(-18.3205190528383,-5.7325,-5.27451905283833))!#13240=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,-5.32475952641917))!#13241=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,-5.))!#13242=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,-5.10048094716167))!#13243=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,-5.21650635094611))!#13244=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13245=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13246=CARTESIAN_POINT('',(-17.8585,-5.7325,-4.67524047358084))!#13247=CARTESIAN_POINT('',(-18.046,-5.76599364905389,-4.56698729810779))!#13248=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,-4.67524047358084))!#13249=CARTESIAN_POINT('Ctrl Pts',(-17.9455190528383,-5.7325,-4.625))!#13250=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,-4.56698729810779))!#13251=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13252=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,-4.56698729810779))!#13253=CARTESIAN_POINT('Ctrl Pts',(-18.1464809471617,-5.7325,-4.625))!#13254=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,-4.67524047358084))!#13255=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13256=CARTESIAN_POINT('',(-18.046,-5.76599364905389,-5.43301270189222))!#13257=CARTESIAN_POINT('',(-17.8585,-5.7325,-5.32475952641917))!#13258=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,-5.43301270189222))!#13259=CARTESIAN_POINT('Ctrl Pts',(-17.9455190528383,-5.7325,-5.375))!#13260=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,-5.32475952641917))!#13261=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,-5.32475952641917))!#13262=CARTESIAN_POINT('Ctrl Pts',(-18.1464809471617,-5.7325,-5.375))!#13263=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,-5.43301270189222))!#13264=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13265=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13266=CARTESIAN_POINT('',(-17.671,-5.7325,-5.))!#13267=CARTESIAN_POINT('',(-17.671,-5.76599364905389,-4.7834936490539))!#13268=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,-5.))!#13269=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,-4.89951905283833))!#13270=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,-4.7834936490539))!#13271=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13272=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,-4.78349364905389))!#13273=CARTESIAN_POINT('Ctrl Pts',(-17.7714809471617,-5.7325,-4.72548094716168))!#13274=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,-4.67524047358084))!#13275=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,-5.))!#13276=CARTESIAN_POINT('',(-17.671,-5.76599364905389,-5.21650635094611))!#13277=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,-5.21650635094611))!#13278=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,-5.10048094716167))!#13279=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,-5.))!#13280=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,-5.32475952641917))!#13281=CARTESIAN_POINT('Ctrl Pts',(-17.7714809471617,-5.7325,-5.27451905283833))!#13282=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,-5.21650635094611))!#13283=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13284=CARTESIAN_POINT('Origin',(-17.671,-6.02,-5.21650635094611))!#13285=CARTESIAN_POINT('',(-17.671,-6.02,-4.78349364905389))!#13286=CARTESIAN_POINT('',(-17.671,-6.02,-4.78349364905389))!#13287=CARTESIAN_POINT('',(-17.671,-6.02,-5.21650635094611))!#13288=CARTESIAN_POINT('',(-17.671,-6.02,-4.40668904844777))!#13289=CARTESIAN_POINT('',(-17.671,-6.02,-5.21650635094611))!#13290=CARTESIAN_POINT('Origin',(-17.671,-6.02,-4.78349364905389))!#13291=CARTESIAN_POINT('',(-18.046,-6.02,-4.56698729810778))!#13292=CARTESIAN_POINT('',(-18.046,-6.02,-4.56698729810778))!#13293=CARTESIAN_POINT('',(-14.7385361781939,-6.02,-6.4765524259625))!#13294=CARTESIAN_POINT('Origin',(-18.046,-6.02,-4.56698729810778))!#13295=CARTESIAN_POINT('',(-18.421,-6.02,-4.78349364905389))!#13296=CARTESIAN_POINT('',(-18.421,-6.02,-4.78349364905389))!#13297=CARTESIAN_POINT('',(-14.5059638218061,-6.02,-2.52314645768653))!#13298=CARTESIAN_POINT('Origin',(-18.421,-6.02,-4.78349364905389))!#13299=CARTESIAN_POINT('',(-18.421,-6.02,-5.21650635094611))!#13300=CARTESIAN_POINT('',(-18.421,-6.02,-5.21650635094611))!#13301=CARTESIAN_POINT('',(-18.421,-6.02,-4.19018269750166))!#13302=CARTESIAN_POINT('Origin',(-18.421,-6.02,-5.21650635094611))!#13303=CARTESIAN_POINT('',(-18.046,-6.02,-5.43301270189222))!#13304=CARTESIAN_POINT('',(-18.046,-6.02,-5.43301270189222))!#13305=CARTESIAN_POINT('',(-15.3010361781939,-6.02,-7.01781830332777))!#13306=CARTESIAN_POINT('Origin',(-18.046,-6.02,-5.43301270189222))!#13307=CARTESIAN_POINT('',(-14.3184638218061,-6.02,-3.28091868599792))!#13308=CARTESIAN_POINT('Origin',(-18.046,-5.7325,-5.))!#13309=CARTESIAN_POINT('Origin',(-9.796,-6.77210196850394,5.))!#13310=CARTESIAN_POINT('',(-9.796,-6.79500393700787,5.2042))!#13311=CARTESIAN_POINT('Origin',(-9.796,-6.79500393700787,5.))!#13312=CARTESIAN_POINT('',(-9.796,-6.79070356737612,5.2085))!#13313=CARTESIAN_POINT('',(-9.796,-6.77210196850394,5.2271))!#13314=CARTESIAN_POINT('',(-9.796,-6.79070356737613,4.79150000000001))!#13315=CARTESIAN_POINT('Origin',(-9.796,-6.79070356737613,5.00000000000001))!#13316=CARTESIAN_POINT('Origin',(-9.796,-6.79070356737613,5.00000000000001))!#13317=CARTESIAN_POINT('Origin',(-9.796,-6.79500393700787,5.125))!#13318=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13319=CARTESIAN_POINT('',(-9.9835,-5.7325,5.32475952641916))!#13320=CARTESIAN_POINT('',(-10.171,-5.76599364905389,5.2165063509461))!#13321=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,5.32475952641916))!#13322=CARTESIAN_POINT('Ctrl Pts',(-10.0705190528383,-5.7325,5.27451905283833))!#13323=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,5.21650635094611))!#13324=CARTESIAN_POINT('',(-10.171,-5.7325,5.))!#13325=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13326=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,5.21650635094611))!#13327=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,5.10048094716167))!#13328=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,5.))!#13329=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13330=CARTESIAN_POINT('',(-10.171,-5.76599364905389,4.78349364905389))!#13331=CARTESIAN_POINT('',(-9.9835,-5.7325,4.67524047358083))!#13332=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,4.78349364905389))!#13333=CARTESIAN_POINT('Ctrl Pts',(-10.0705190528383,-5.7325,4.72548094716167))!#13334=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,4.67524047358083))!#13335=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,5.))!#13336=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,4.89951905283833))!#13337=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,4.78349364905389))!#13338=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13339=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13340=CARTESIAN_POINT('',(-9.6085,-5.7325,5.32475952641916))!#13341=CARTESIAN_POINT('',(-9.796,-5.76599364905389,5.43301270189222))!#13342=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,5.32475952641916))!#13343=CARTESIAN_POINT('Ctrl Pts',(-9.69551905283833,-5.7325,5.375))!#13344=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,5.43301270189222))!#13345=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13346=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,5.43301270189222))!#13347=CARTESIAN_POINT('Ctrl Pts',(-9.89648094716167,-5.7325,5.375))!#13348=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,5.32475952641916))!#13349=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13350=CARTESIAN_POINT('',(-9.796,-5.76599364905389,4.56698729810778))!#13351=CARTESIAN_POINT('',(-9.6085,-5.7325,4.67524047358083))!#13352=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,4.56698729810778))!#13353=CARTESIAN_POINT('Ctrl Pts',(-9.69551905283833,-5.7325,4.625))!#13354=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,4.67524047358083))!#13355=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,4.67524047358083))!#13356=CARTESIAN_POINT('Ctrl Pts',(-9.89648094716167,-5.7325,4.625))!#13357=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,4.56698729810778))!#13358=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13359=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13360=CARTESIAN_POINT('',(-9.421,-5.7325,5.))!#13361=CARTESIAN_POINT('',(-9.421,-5.76599364905389,5.21650635094611))!#13362=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,5.))!#13363=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,5.10048094716167))!#13364=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,5.21650635094611))!#13365=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13366=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,5.21650635094611))!#13367=CARTESIAN_POINT('Ctrl Pts',(-9.52148094716167,-5.7325,5.27451905283833))!#13368=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,5.32475952641916))!#13369=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,5.))!#13370=CARTESIAN_POINT('',(-9.421,-5.76599364905389,4.78349364905389))!#13371=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,4.78349364905389))!#13372=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,4.89951905283833))!#13373=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,5.))!#13374=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,4.67524047358083))!#13375=CARTESIAN_POINT('Ctrl Pts',(-9.52148094716167,-5.7325,4.72548094716167))!#13376=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,4.78349364905389))!#13377=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13378=CARTESIAN_POINT('Origin',(-9.421,-6.02,4.78349364905389))!#13379=CARTESIAN_POINT('',(-9.421,-6.02,5.21650635094611))!#13380=CARTESIAN_POINT('',(-9.421,-6.02,5.21650635094611))!#13381=CARTESIAN_POINT('',(-9.421,-6.02,4.78349364905389))!#13382=CARTESIAN_POINT('',(-9.421,-6.02,5.17924682452694))!#13383=CARTESIAN_POINT('',(-9.421,-6.02,4.78349364905389))!#13384=CARTESIAN_POINT('Origin',(-9.421,-6.02,5.21650635094611))!#13385=CARTESIAN_POINT('',(-9.796,-6.02,5.43301270189222))!#13386=CARTESIAN_POINT('',(-9.796,-6.02,5.43301270189222))!#13387=CARTESIAN_POINT('',(-9.40299115179401,-6.02,5.20610893758658))!#13388=CARTESIAN_POINT('Origin',(-9.796,-6.02,5.43301270189222))!#13389=CARTESIAN_POINT('',(-10.171,-6.02,5.21650635094611))!#13390=CARTESIAN_POINT('',(-10.171,-6.02,5.21650635094611))!#13391=CARTESIAN_POINT('',(-9.52900884820599,-6.02,5.58716011525174))!#13392=CARTESIAN_POINT('Origin',(-10.171,-6.02,5.21650635094611))!#13393=CARTESIAN_POINT('',(-10.171,-6.02,4.78349364905389))!#13394=CARTESIAN_POINT('',(-10.171,-6.02,4.78349364905389))!#13395=CARTESIAN_POINT('',(-10.171,-6.02,5.39575317547305))!#13396=CARTESIAN_POINT('Origin',(-10.171,-6.02,4.78349364905389))!#13397=CARTESIAN_POINT('',(-9.796,-6.02,4.56698729810778))!#13398=CARTESIAN_POINT('',(-9.796,-6.02,4.56698729810778))!#13399=CARTESIAN_POINT('',(-9.96549115179401,-6.02,4.66484306022131))!#13400=CARTESIAN_POINT('Origin',(-9.796,-6.02,4.56698729810778))!#13401=CARTESIAN_POINT('',(-9.34150884820599,-6.02,4.82938788694036))!#13402=CARTESIAN_POINT('Origin',(-9.796,-5.7325,5.))!#13403=CARTESIAN_POINT('Origin',(-18.6927448529157,-1.59032436073736,9.77011811023623))!#13404=CARTESIAN_POINT('',(-17.4352611771675,0.0421261383027216,9.77000000000001))!#13405=CARTESIAN_POINT('',(-17.4357434314753,0.041500081439629,9.77000000000001))!#13406=CARTESIAN_POINT('',(-17.6719790310425,-0.265178191878786,9.76999999999999))!#13407=CARTESIAN_POINT('',(-17.4352611771636,0.0421261382989351,9.77011811023623))!#13408=CARTESIAN_POINT('',(-17.4352611771675,0.0421261383027216,9.77011811023623))!#13409=CARTESIAN_POINT('',(-17.4357434314753,0.041500081439629,9.77011811023623))!#13410=CARTESIAN_POINT('',(-18.6927448529157,-1.59032436073736,9.77011811023623))!#13411=CARTESIAN_POINT('',(-17.4357434314753,0.041500081439629,9.77011811023623))!#13412=CARTESIAN_POINT('Ctrl Pts',(-17.4352611771558,0.0421261382913619, 9.77011811023623))!#13413=CARTESIAN_POINT('Ctrl Pts',(-17.4352611771558,0.0421261382913619, 9.76997637795277))!#13414=CARTESIAN_POINT('Ctrl Pts',(-17.4400522802469,0.048363751622681, 9.77011811023623))!#13415=CARTESIAN_POINT('Ctrl Pts',(-17.4400522802469,0.048363751622681, 9.76997637795277))!#13416=CARTESIAN_POINT('Ctrl Pts',(-17.4838124063525,0.105200677782809, 9.77011811023623))!#13417=CARTESIAN_POINT('Ctrl Pts',(-17.4838124063525,0.105200677782809, 9.76997637795277))!#13418=CARTESIAN_POINT('',(-17.4838124063705,0.105200677768934,9.77000000000001))!#13419=CARTESIAN_POINT('Ctrl Pts',(-17.4838124063525,0.105200677782809, 9.77000000000001))!#13420=CARTESIAN_POINT('Ctrl Pts',(-17.4400522802469,0.0483637516226811, 9.77000000000001))!#13421=CARTESIAN_POINT('Ctrl Pts',(-17.4352611771558,0.0421261382913619, 9.77000000000001))!#13422=CARTESIAN_POINT('',(-17.4838124063705,0.105200677768934,9.77011811023623))!#13423=CARTESIAN_POINT('',(-17.4838124063795,0.105200677761997,9.77011811023623))!#13424=CARTESIAN_POINT('Ctrl Pts',(-17.4352611771656,0.0421261383041391, 9.77011811023623))!#13425=CARTESIAN_POINT('Ctrl Pts',(-17.4400522802469,0.0483637516226811, 9.77011811023623))!#13426=CARTESIAN_POINT('Ctrl Pts',(-17.4838124063525,0.105200677782809, 9.77011811023623))!#13427=CARTESIAN_POINT('Origin',(-18.2585482706255,1.1109536530124,9.77011811023623))!#13428=CARTESIAN_POINT('',(-17.7895187894597,0.502065104110942,9.77000000000001))!#13429=CARTESIAN_POINT('',(-18.6153156245254,1.57410483035751,9.76999999999999))!#13430=CARTESIAN_POINT('',(-17.7895187894597,0.502065104110942,9.77011811023623))!#13431=CARTESIAN_POINT('',(-17.7895187894597,0.502065104110942,9.77011811023623))!#13432=CARTESIAN_POINT('',(-18.2585482706255,1.1109536530124,9.77011811023623))!#13433=CARTESIAN_POINT('Origin',(-20.2290302146311,0.502065104110937,9.77011811023623))!#13434=CARTESIAN_POINT('',(-18.047625168949,0.502065104110941,9.77000000000001))!#13435=CARTESIAN_POINT('',(-19.3375151073156,0.502065104110939,9.76999999999999))!#13436=CARTESIAN_POINT('',(-18.047625168949,0.502065104110941,9.77011811023623))!#13437=CARTESIAN_POINT('',(-18.047625168949,0.502065104110941,9.77011811023623))!#13438=CARTESIAN_POINT('',(-20.2290302146311,0.502065104110937,9.77011811023623))!#13439=CARTESIAN_POINT('Origin',(-18.4202474253051,0.985952861144944,9.77011811023623))!#13440=CARTESIAN_POINT('',(-17.9555396442404,0.382482719413089,9.77000000000001))!#13441=CARTESIAN_POINT('',(-18.7770914006129,1.44935092145234,9.76999999999999))!#13442=CARTESIAN_POINT('',(-17.9555396442404,0.382482719413089,9.77011811023623))!#13443=CARTESIAN_POINT('',(-17.9555396442404,0.382482719413089,9.77011811023623))!#13444=CARTESIAN_POINT('',(-18.4202474253051,0.985952861144944,9.77011811023623))!#13445=CARTESIAN_POINT('Origin',(-18.4206516751776,0.986224911342697,9.77011811023623))!#13446=CARTESIAN_POINT('',(-17.692852806411,0.0415000814396284,9.77000000000001))!#13447=CARTESIAN_POINT('',(-18.7773947734021,1.44929798998104,9.76999999999999))!#13448=CARTESIAN_POINT('',(-17.692852806411,0.0415000814396284,9.77011811023623))!#13449=CARTESIAN_POINT('',(-17.692852806411,0.0415000814396284,9.77011811023623))!#13450=CARTESIAN_POINT('',(-18.4206516751776,0.986224911342697,9.77011811023623))!#13451=CARTESIAN_POINT('Origin',(-18.8541837691072,-1.46603280471744,9.77011811023623))!#13452=CARTESIAN_POINT('',(-17.6929078139338,0.0414286757402068,9.77000000000001))!#13453=CARTESIAN_POINT('',(-17.8333812823945,-0.140921042074397,9.76999999999999))!#13454=CARTESIAN_POINT('',(-17.6929078139338,0.0414286757402068,9.77011811023623))!#13455=CARTESIAN_POINT('',(-17.6929078139338,0.0414286757402068,9.77011811023623))!#13456=CARTESIAN_POINT('',(-18.8541837691072,-1.46603280471744,9.77011811023623))!#13457=CARTESIAN_POINT('Origin',(-18.4202474253051,0.985952861144944,9.77011811023623))!#13458=CARTESIAN_POINT('',(-17.692852806411,0.0413572428979978,9.77000000000001))!#13459=CARTESIAN_POINT('',(-18.7770914006129,1.44935092145234,9.76999999999999))!#13460=CARTESIAN_POINT('',(-17.692852806411,0.0413572428979978,9.77011811023623))!#13461=CARTESIAN_POINT('',(-17.692852806411,0.0413572428979978,9.77011811023623))!#13462=CARTESIAN_POINT('',(-18.4202474253051,0.985952861144944,9.77011811023623))!#13463=CARTESIAN_POINT('Origin',(-18.8535951007668,-1.46604264148081,9.77011811023623))!#13464=CARTESIAN_POINT('',(-18.0474051934449,-0.419082796049394,9.77000000000001))!#13465=CARTESIAN_POINT('',(-17.8330407719353,-0.140698088462142,9.76999999999999))!#13466=CARTESIAN_POINT('',(-18.0474051934449,-0.419082796049394,9.77011811023623))!#13467=CARTESIAN_POINT('',(-18.0474051934449,-0.419082796049394,9.77011811023623))!#13468=CARTESIAN_POINT('',(-18.8535951007668,-1.46604264148081,9.77011811023623))!#13469=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.419082796049398,9.77011811023623))!#13470=CARTESIAN_POINT('',(-17.7895187894597,-0.419082796049393,9.77000000000001))!#13471=CARTESIAN_POINT('',(-19.3375151073156,-0.419082796049396,9.76999999999999))!#13472=CARTESIAN_POINT('',(-17.7895187894597,-0.419082796049393,9.77011811023623))!#13473=CARTESIAN_POINT('',(-17.7895187894597,-0.419082796049393,9.77011811023623))!#13474=CARTESIAN_POINT('',(-20.2290302146311,-0.419082796049398,9.77011811023623))!#13475=CARTESIAN_POINT('Origin',(-18.6920645543723,-1.59080250172054,9.77011811023623))!#13476=CARTESIAN_POINT('',(-17.4352434965796,0.0408510466852438,9.77000000000001))!#13477=CARTESIAN_POINT('',(-17.6713217019485,-0.265634780476182,9.76999999999999))!#13478=CARTESIAN_POINT('',(-17.4352434965796,0.0408510466852438,9.77011811023623))!#13479=CARTESIAN_POINT('',(-17.4352434965796,0.0408510466852438,9.77011811023623))!#13480=CARTESIAN_POINT('',(-18.6920645543723,-1.59080250172054,9.77011811023623))!#13481=CARTESIAN_POINT('Origin',(-18.2591273186932,1.11044878575141,9.77011811023623))!#13482=CARTESIAN_POINT('',(-18.6159040128144,1.57363004400751,9.76999999999999))!#13483=CARTESIAN_POINT('',(-18.2591273186932,1.11044878575141,9.77011811023623))!#13484=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.406917105948273,9.77011811023623))!#13485=CARTESIAN_POINT('Origin',(-18.2585482706255,1.1109536530124,9.77011811023623))!#13486=CARTESIAN_POINT('',(-17.4347435616839,0.0415000814396289,9.77000000000001))!#13487=CARTESIAN_POINT('',(-17.4352434965796,0.0421490910337283,9.77000000000001))!#13488=CARTESIAN_POINT('',(-18.6153156245254,1.57410483035751,9.76999999999999))!#13489=CARTESIAN_POINT('',(-17.4347435616839,0.0415000814396289,9.77011811023623))!#13490=CARTESIAN_POINT('',(-17.4347435616839,0.0415000814396289,9.77011811023623))!#13491=CARTESIAN_POINT('',(-17.4352434965796,0.0421490910337283,9.77011811023623))!#13492=CARTESIAN_POINT('',(-18.2585482706255,1.1109536530124,9.77011811023623))!#13493=CARTESIAN_POINT('',(-17.4352434965796,0.0421490910337283,9.77011811023623))!#13494=CARTESIAN_POINT('Origin',(-18.6920645543723,-1.59080250172054,9.77011811023623))!#13495=CARTESIAN_POINT('',(-17.6713217019485,-0.265634780476182,9.76999999999999))!#13496=CARTESIAN_POINT('',(-17.4352434965796,0.0408510466852438,9.77011811023623))!#13497=CARTESIAN_POINT('',(-18.6920645543723,-1.59080250172054,9.77011811023623))!#13498=CARTESIAN_POINT('Origin',(-18.2591273186932,1.11044878575141,9.77011811023623))!#13499=CARTESIAN_POINT('',(-17.0809682036994,-0.419082796049392,9.77000000000001))!#13500=CARTESIAN_POINT('',(-18.6159040128144,1.57363004400751,9.76999999999999))!#13501=CARTESIAN_POINT('',(-17.0809682036994,-0.419082796049392,9.77011811023623))!#13502=CARTESIAN_POINT('',(-17.0809682036994,-0.419082796049392,9.77011811023623))!#13503=CARTESIAN_POINT('',(-18.2591273186932,1.11044878575141,9.77011811023623))!#13504=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.419082796049398,9.77011811023623))!#13505=CARTESIAN_POINT('',(-16.8231888954177,-0.419082796049391,9.77000000000001))!#13506=CARTESIAN_POINT('',(-19.3375151073156,-0.419082796049396,9.76999999999999))!#13507=CARTESIAN_POINT('',(-16.8231888954177,-0.419082796049391,9.77011811023623))!#13508=CARTESIAN_POINT('',(-16.8231888954177,-0.419082796049391,9.77011811023623))!#13509=CARTESIAN_POINT('',(-20.2290302146311,-0.419082796049398,9.77011811023623))!#13510=CARTESIAN_POINT('Origin',(-18.0966728340785,1.2348956393292,9.77011811023623))!#13511=CARTESIAN_POINT('',(-17.1777056060189,0.0413572428979989,9.77000000000001))!#13512=CARTESIAN_POINT('',(-18.4535503472323,1.69840184691329,9.76999999999999))!#13513=CARTESIAN_POINT('',(-17.1777056060189,0.0413572428979989,9.77011811023623))!#13514=CARTESIAN_POINT('',(-17.1777056060189,0.0413572428979989,9.77011811023623))!#13515=CARTESIAN_POINT('',(-18.0966728340785,1.2348956393292,9.77011811023623))!#13516=CARTESIAN_POINT('Origin',(-18.530110531146,-1.71505432610953,9.77011811023623))!#13517=CARTESIAN_POINT('',(-17.1776149011817,0.0414750441737799,9.77000000000001))!#13518=CARTESIAN_POINT('',(-17.5095928793966,-0.389675383304643,9.76999999999999))!#13519=CARTESIAN_POINT('',(-17.1776149011817,0.0414750441737799,9.77011811023623))!#13520=CARTESIAN_POINT('',(-17.1776149011817,0.0414750441737799,9.77011811023623))!#13521=CARTESIAN_POINT('',(-18.530110531146,-1.71505432610953,9.77011811023623))!#13522=CARTESIAN_POINT('Origin',(-18.0971329923997,1.23522887814763,9.77011811023623))!#13523=CARTESIAN_POINT('',(-17.1776341867481,0.0415000814396294,9.77000000000001))!#13524=CARTESIAN_POINT('',(-18.4539096865208,1.69841013640373,9.76999999999999))!#13525=CARTESIAN_POINT('',(-17.1776341867481,0.0415000814396294,9.77011811023623))!#13526=CARTESIAN_POINT('',(-17.1776341867481,0.0415000814396294,9.77011811023623))!#13527=CARTESIAN_POINT('',(-18.0971329923997,1.23522887814763,9.77011811023623))!#13528=CARTESIAN_POINT('Origin',(-18.5307552037301,-1.71510568771232,9.77011811023623))!#13529=CARTESIAN_POINT('',(-17.0855320660481,0.161065969887359,9.77000000000001))!#13530=CARTESIAN_POINT('',(-17.5099893818568,-0.389959518853747,9.76999999999999))!#13531=CARTESIAN_POINT('',(-17.0855320660481,0.161065969887359,9.77011811023623))!#13532=CARTESIAN_POINT('',(-17.0855320660481,0.161065969887359,9.77011811023623))!#13533=CARTESIAN_POINT('',(-18.5307552037301,-1.71510568771232,9.77011811023623))!#13534=CARTESIAN_POINT('Origin',(-18.530110531146,-1.71505432610953,9.77011811023623))!#13535=CARTESIAN_POINT('',(-16.8229689420483,0.502065104110943,9.77000000000001))!#13536=CARTESIAN_POINT('',(-17.5095928793966,-0.389675383304643,9.76999999999999))!#13537=CARTESIAN_POINT('',(-16.8229689420483,0.502065104110943,9.77011811023623))!#13538=CARTESIAN_POINT('',(-16.8229689420483,0.502065104110943,9.77011811023623))!#13539=CARTESIAN_POINT('',(-18.530110531146,-1.71505432610953,9.77011811023623))!#13540=CARTESIAN_POINT('Origin',(-20.2290302146311,0.502065104110937,9.77011811023623))!#13541=CARTESIAN_POINT('',(-17.0809682036994,0.502065104110943,9.77000000000001))!#13542=CARTESIAN_POINT('',(-19.3375151073156,0.502065104110939,9.76999999999999))!#13543=CARTESIAN_POINT('',(-17.0809682036994,0.502065104110943,9.77011811023623))!#13544=CARTESIAN_POINT('',(-17.0809682036994,0.502065104110943,9.77011811023623))!#13545=CARTESIAN_POINT('',(-20.2290302146311,0.502065104110937,9.77011811023623))!#13546=CARTESIAN_POINT('Origin',(-18.6927448529157,-1.59032436073736,9.77011811023623))!#13547=CARTESIAN_POINT('',(-17.6719790310425,-0.265178191878786,9.76999999999999))!#13548=CARTESIAN_POINT('',(-18.6927448529157,-1.59032436073736,9.77011811023623))!#13549=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.406917105948273,9.77011811023623))!#13550=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.406917105948273,9.77011811023623))!#13551=CARTESIAN_POINT('',(-16.632526602249,-0.353269937992926,9.77011811023623))!#13552=CARTESIAN_POINT('',(-16.7727226308598,-0.353269937992927,9.77011811023623))!#13553=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77011811023623))!#13554=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.314810660658792, 9.77011811023623))!#13555=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.28342189113539, 9.77011811023623))!#13556=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.28342189113539, 9.77011811023623))!#13557=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.28342189113539, 9.77011811023623))!#13558=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.314810660658793, 9.77011811023623))!#13559=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77011811023623))!#13560=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77011811023623))!#13561=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.391729215327062, 9.77011811023623))!#13562=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.423117984850465, 9.77011811023623))!#13563=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.423117984850465, 9.77011811023623))!#13564=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.423117984850464, 9.77011811023623))!#13565=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.391729215327062, 9.77011811023623))!#13566=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77011811023623))!#13567=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77011811023623))!#13568=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.76997637795277))!#13569=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.391729215327062, 9.77011811023623))!#13570=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.391729215327062, 9.76997637795277))!#13571=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.423117984850465, 9.77011811023623))!#13572=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.423117984850465, 9.76997637795277))!#13573=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.423117984850465, 9.77011811023623))!#13574=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.423117984850465, 9.76997637795277))!#13575=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.423117984850464, 9.77011811023623))!#13576=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.423117984850464, 9.76997637795277))!#13577=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.391729215327062, 9.77011811023623))!#13578=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.391729215327062, 9.76997637795277))!#13579=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77011811023623))!#13580=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.76997637795277))!#13581=CARTESIAN_POINT('',(-16.632526602249,-0.353269937992926,9.77000000000001))!#13582=CARTESIAN_POINT('',(-16.7727226308598,-0.353269937992927,9.77000000000001))!#13583=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77000000000001))!#13584=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.391729215327062, 9.77000000000001))!#13585=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.423117984850464, 9.77000000000001))!#13586=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.423117984850465, 9.77000000000001))!#13587=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.423117984850465, 9.77000000000001))!#13588=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.391729215327062, 9.77000000000001))!#13589=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77000000000001))!#13590=CARTESIAN_POINT('',(-16.632526602249,-0.353269937992926,9.77011811023623))!#13591=CARTESIAN_POINT('',(-16.7727226308598,-0.353269937992927,9.77011811023623))!#13592=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77011811023623))!#13593=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.76997637795277))!#13594=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.314810660658792, 9.77011811023623))!#13595=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.314810660658792, 9.76997637795277))!#13596=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.28342189113539, 9.77011811023623))!#13597=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.28342189113539, 9.76997637795277))!#13598=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.28342189113539, 9.77011811023623))!#13599=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.28342189113539, 9.76997637795277))!#13600=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.28342189113539, 9.77011811023623))!#13601=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.28342189113539, 9.76997637795277))!#13602=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.314810660658793, 9.77011811023623))!#13603=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.314810660658793, 9.76997637795277))!#13604=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77011811023623))!#13605=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.76997637795277))!#13606=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.353269937992927, 9.77000000000001))!#13607=CARTESIAN_POINT('Ctrl Pts',(-16.7727226308598,-0.314810660658793, 9.77000000000001))!#13608=CARTESIAN_POINT('Ctrl Pts',(-16.7413695709718,-0.28342189113539, 9.77000000000001))!#13609=CARTESIAN_POINT('Ctrl Pts',(-16.7023746491065,-0.28342189113539, 9.77000000000001))!#13610=CARTESIAN_POINT('Ctrl Pts',(-16.6639153717724,-0.28342189113539, 9.77000000000001))!#13611=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.314810660658792, 9.77000000000001))!#13612=CARTESIAN_POINT('Ctrl Pts',(-16.632526602249,-0.353269937992926, 9.77000000000001))!#13613=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.77011811023623))!#13614=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.76997637795277))!#13615=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.268933288527163, 9.77011811023623))!#13616=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.268933288527163, 9.76997637795277))!#13617=CARTESIAN_POINT('Ctrl Pts',(-18.0922958538126,0.296481395253926, 9.77011811023623))!#13618=CARTESIAN_POINT('Ctrl Pts',(-18.0922958538126,0.296481395253926, 9.76997637795277))!#13619=CARTESIAN_POINT('Ctrl Pts',(-18.0981224755988,0.323009550554973, 9.77011811023623))!#13620=CARTESIAN_POINT('Ctrl Pts',(-18.0981224755988,0.323009550554973, 9.76997637795277))!#13621=CARTESIAN_POINT('',(-18.0981224756055,0.323009550553479,9.77000000000001))!#13622=CARTESIAN_POINT('',(-18.0893011787077,0.24079555665022,9.77000000000001))!#13623=CARTESIAN_POINT('Ctrl Pts',(-18.0981224755988,0.323009550554973, 9.77000000000001))!#13624=CARTESIAN_POINT('Ctrl Pts',(-18.0922958538126,0.296481395253926, 9.77000000000001))!#13625=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.268933288527163, 9.77000000000001))!#13626=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.77000000000001))!#13627=CARTESIAN_POINT('',(-18.0981224756055,0.323009550553479,9.77011811023623))!#13628=CARTESIAN_POINT('',(-18.0981224755988,0.323009550554969,9.77011811023623))!#13629=CARTESIAN_POINT('',(-18.0893011787077,0.24079555665022,9.77011811023623))!#13630=CARTESIAN_POINT('Origin',(-18.4915514546365,0.196801411545902,9.77011811023623))!#13631=CARTESIAN_POINT('',(-18.0893011787077,0.24079555665022,9.77011811023623))!#13632=CARTESIAN_POINT('Ctrl Pts',(-18.0981224756188,0.323009550550495, 9.77011811023623))!#13633=CARTESIAN_POINT('Ctrl Pts',(-18.0981224756188,0.323009550550495, 9.76997637795277))!#13634=CARTESIAN_POINT('Ctrl Pts',(-18.1039869753902,0.349313471829242, 9.77011811023623))!#13635=CARTESIAN_POINT('Ctrl Pts',(-18.1039869753902,0.349313471829242, 9.76997637795277))!#13636=CARTESIAN_POINT('Ctrl Pts',(-18.1126438223418,0.374644751417889, 9.77011811023623))!#13637=CARTESIAN_POINT('Ctrl Pts',(-18.1126438223418,0.374644751417889, 9.76997637795277))!#13638=CARTESIAN_POINT('Ctrl Pts',(-18.1238405106041,0.398902031326563, 9.77011811023623))!#13639=CARTESIAN_POINT('Ctrl Pts',(-18.1238405106041,0.398902031326563, 9.76997637795277))!#13640=CARTESIAN_POINT('',(-18.1238405106715,0.398902031555504,9.77000000000001))!#13641=CARTESIAN_POINT('Ctrl Pts',(-18.1238405106041,0.398902031326563, 9.77000000000001))!#13642=CARTESIAN_POINT('Ctrl Pts',(-18.1126438223418,0.374644751417889, 9.77000000000001))!#13643=CARTESIAN_POINT('Ctrl Pts',(-18.1039869753902,0.349313471829242, 9.77000000000001))!#13644=CARTESIAN_POINT('Ctrl Pts',(-18.0981224756188,0.323009550550495, 9.77000000000001))!#13645=CARTESIAN_POINT('',(-18.1238405106715,0.398902031555504,9.77011811023623))!#13646=CARTESIAN_POINT('',(-18.1238405106468,0.398902031543691,9.77011811023623))!#13647=CARTESIAN_POINT('Origin',(-19.1760158365957,0.0848465357868758,9.77011811023623))!#13648=CARTESIAN_POINT('Ctrl Pts',(-18.123840511047,0.398902032395068,9.77011811023623))!#13649=CARTESIAN_POINT('Ctrl Pts',(-18.123840511047,0.398902032395068,9.76997637795277))!#13650=CARTESIAN_POINT('Ctrl Pts',(-18.1255172077875,0.402468896318327, 9.77011811023623))!#13651=CARTESIAN_POINT('Ctrl Pts',(-18.1255172077875,0.402468896318327, 9.76997637795277))!#13652=CARTESIAN_POINT('Ctrl Pts',(-18.1272525483431,0.406003928904349, 9.77011811023623))!#13653=CARTESIAN_POINT('Ctrl Pts',(-18.1272525483431,0.406003928904349, 9.76997637795277))!#13654=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.77011811023623))!#13655=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.76997637795277))!#13656=CARTESIAN_POINT('',(-18.1290460029165,0.409505729134086,9.77000000000001))!#13657=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.77000000000001))!#13658=CARTESIAN_POINT('Ctrl Pts',(-18.1272525483431,0.406003928904349, 9.77000000000001))!#13659=CARTESIAN_POINT('Ctrl Pts',(-18.1255172077875,0.402468896318327, 9.77000000000001))!#13660=CARTESIAN_POINT('Ctrl Pts',(-18.123840511047,0.398902032395068,9.77000000000001))!#13661=CARTESIAN_POINT('',(-18.1290460029165,0.409505729134086,9.77011811023623))!#13662=CARTESIAN_POINT('',(-18.1290460029165,0.409505729134086,9.77011811023623))!#13663=CARTESIAN_POINT('Origin',(-18.4392138083822,0.250660771940493,9.77011811023623))!#13664=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.77011811023623))!#13665=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.76997637795277))!#13666=CARTESIAN_POINT('Ctrl Pts',(-18.1647556383243,0.478032519481535, 9.77011811023623))!#13667=CARTESIAN_POINT('Ctrl Pts',(-18.1647556383243,0.478032519481535, 9.76997637795277))!#13668=CARTESIAN_POINT('Ctrl Pts',(-18.2483161851784,0.502725732365982, 9.77011811023623))!#13669=CARTESIAN_POINT('Ctrl Pts',(-18.2483161851784,0.502725732365982, 9.76997637795277))!#13670=CARTESIAN_POINT('Ctrl Pts',(-18.4417552801821,0.502725732365982, 9.77011811023623))!#13671=CARTESIAN_POINT('Ctrl Pts',(-18.4417552801821,0.502725732365982, 9.76997637795277))!#13672=CARTESIAN_POINT('',(-18.4417552801821,0.502725732365982,9.77000000000001))!#13673=CARTESIAN_POINT('Ctrl Pts',(-18.4417552801821,0.502725732365982, 9.77000000000001))!#13674=CARTESIAN_POINT('Ctrl Pts',(-18.2483161851784,0.502725732365982, 9.77000000000001))!#13675=CARTESIAN_POINT('Ctrl Pts',(-18.1647556383243,0.478032519481535, 9.77000000000001))!#13676=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.77000000000001))!#13677=CARTESIAN_POINT('',(-18.4417552801821,0.502725732365982,9.77011811023623))!#13678=CARTESIAN_POINT('',(-18.4417552801821,0.502725732365982,9.77011811023623))!#13679=CARTESIAN_POINT('Ctrl Pts',(-18.1290460029165,0.409505729134086, 9.77011811023623))!#13680=CARTESIAN_POINT('Ctrl Pts',(-18.1647556383243,0.478032519481535, 9.77011811023623))!#13681=CARTESIAN_POINT('Ctrl Pts',(-18.2483161851784,0.502725732365982, 9.77011811023623))!#13682=CARTESIAN_POINT('Ctrl Pts',(-18.4417552801821,0.502725732365982, 9.77011811023623))!#13683=CARTESIAN_POINT('Origin',(-20.2290302146311,0.502725732365978,9.77011811023623))!#13684=CARTESIAN_POINT('',(-19.0938132227274,0.50272573236598,9.77000000000001))!#13685=CARTESIAN_POINT('',(-19.3375151073156,0.50272573236598,9.76999999999999))!#13686=CARTESIAN_POINT('',(-19.0938132227274,0.50272573236598,9.77011811023623))!#13687=CARTESIAN_POINT('',(-19.0938132227274,0.50272573236598,9.77011811023623))!#13688=CARTESIAN_POINT('',(-20.2290302146311,0.502725732365978,9.77011811023623))!#13689=CARTESIAN_POINT('Origin',(-19.0938132227274,-0.40691710594827,9.77011811023623))!#13690=CARTESIAN_POINT('',(-19.0938132227274,-0.410655322093169,9.77000000000001))!#13691=CARTESIAN_POINT('',(-19.0938132227274,1.01779144702586,9.76999999999999))!#13692=CARTESIAN_POINT('',(-19.0938132227274,-0.410655322093169,9.77011811023623))!#13693=CARTESIAN_POINT('',(-19.0938132227274,-0.410655322093169,9.77011811023623))!#13694=CARTESIAN_POINT('',(-19.0938132227274,-0.40691710594827,9.77011811023623))!#13695=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.410655322093171,9.77011811023623))!#13696=CARTESIAN_POINT('',(-18.8605935938795,-0.410655322093168,9.77000000000001))!#13697=CARTESIAN_POINT('',(-19.3375151073156,-0.410655322093169,9.76999999999999))!#13698=CARTESIAN_POINT('',(-18.8605935938795,-0.410655322093168,9.77011811023623))!#13699=CARTESIAN_POINT('',(-18.8605935938795,-0.410655322093168,9.77011811023623))!#13700=CARTESIAN_POINT('',(-20.2290302146311,-0.410655322093171,9.77011811023623))!#13701=CARTESIAN_POINT('Origin',(-18.8605952708492,-0.40638030691175,9.77011811023623))!#13702=CARTESIAN_POINT('',(-18.8607363915233,-0.0466284285961485,9.77000000000001))!#13703=CARTESIAN_POINT('',(-18.8611540071902,1.01797831026021,9.76999999999999))!#13704=CARTESIAN_POINT('',(-18.8607363915233,-0.0466284285961485,9.77011811023623))!#13705=CARTESIAN_POINT('',(-18.8607363915233,-0.0466284285961485,9.77011811023623))!#13706=CARTESIAN_POINT('',(-18.8605952708492,-0.40638030691175,9.77011811023623))!#13707=CARTESIAN_POINT('Origin',(-18.8604898936237,-0.405978348776668,9.77011811023623))!#13708=CARTESIAN_POINT('',(-18.8609863998689,0.317839095042412,9.77000000000001))!#13709=CARTESIAN_POINT('',(-18.8614667597306,1.01811799480342,9.76999999999999))!#13710=CARTESIAN_POINT('',(-18.8609863998689,0.317839095042412,9.77011811023623))!#13711=CARTESIAN_POINT('',(-18.8609863998689,0.317839095042412,9.77011811023623))!#13712=CARTESIAN_POINT('',(-18.8604898936237,-0.405978348776668,9.77011811023623))!#13713=CARTESIAN_POINT('Origin',(-20.2290302146311,0.317839095042409,9.77011811023623))!#13714=CARTESIAN_POINT('',(-18.3194497789106,0.317839095042413,9.77000000000001))!#13715=CARTESIAN_POINT('',(-19.3375151073156,0.317839095042411,9.76999999999999))!#13716=CARTESIAN_POINT('',(-18.3194497789106,0.317839095042413,9.77011811023623))!#13717=CARTESIAN_POINT('',(-18.3194497789106,0.317839095042413,9.77011811023623))!#13718=CARTESIAN_POINT('',(-20.2290302146311,0.317839095042409,9.77011811023623))!#13719=CARTESIAN_POINT('Origin',(-18.3194497789106,-0.406917105948269,9.77011811023623))!#13720=CARTESIAN_POINT('',(-18.3194497789106,0.140701448602327,9.77000000000001))!#13721=CARTESIAN_POINT('',(-18.3194497789106,1.01779144702587,9.76999999999999))!#13722=CARTESIAN_POINT('',(-18.3194497789106,0.140701448602327,9.77011811023623))!#13723=CARTESIAN_POINT('',(-18.3194497789106,0.140701448602327,9.77011811023623))!#13724=CARTESIAN_POINT('',(-18.3194497789106,-0.406917105948269,9.77011811023623))!#13725=CARTESIAN_POINT('Origin',(-20.2290302146311,0.140701448602323,9.77011811023623))!#13726=CARTESIAN_POINT('',(-18.7253433497727,0.140701448602326,9.77000000000001))!#13727=CARTESIAN_POINT('',(-19.3375151073156,0.140701448602325,9.76999999999999))!#13728=CARTESIAN_POINT('',(-18.7253433497727,0.140701448602326,9.77011811023623))!#13729=CARTESIAN_POINT('',(-18.7253433497727,0.140701448602326,9.77011811023623))!#13730=CARTESIAN_POINT('',(-20.2290302146311,0.140701448602323,9.77011811023623))!#13731=CARTESIAN_POINT('Origin',(-18.7238765425591,-0.402855395961922,9.77011811023623))!#13732=CARTESIAN_POINT('',(-18.7252935752832,0.122256444928091,9.77000000000001))!#13733=CARTESIAN_POINT('',(-18.7277146485254,1.01943701495631,9.76999999999999))!#13734=CARTESIAN_POINT('',(-18.7252935752832,0.122256444928091,9.77011811023623))!#13735=CARTESIAN_POINT('',(-18.7252935752832,0.122256444928091,9.77011811023623))!#13736=CARTESIAN_POINT('',(-18.7238765425591,-0.402855395961922,9.77011811023623))!#13737=CARTESIAN_POINT('Origin',(-18.7233735816382,-0.401396558664091,9.77011811023623))!#13738=CARTESIAN_POINT('',(-18.7246827215176,-0.0443458820805792,9.77000000000001))!#13739=CARTESIAN_POINT('',(-18.728585274562,1.02002411140684,9.76999999999999))!#13740=CARTESIAN_POINT('',(-18.7246827215176,-0.0443458820805792,9.77011811023623))!#13741=CARTESIAN_POINT('',(-18.7246827215176,-0.0443458820805792,9.77011811023623))!#13742=CARTESIAN_POINT('',(-18.7233735816382,-0.401396558664091,9.77011811023623))!#13743=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.0443458820805821, 9.77011811023623))!#13744=CARTESIAN_POINT('',(-18.3964754624851,-0.0443458820805784,9.77000000000001))!#13745=CARTESIAN_POINT('',(-19.3375151073156,-0.0443458820805805,9.76999999999999))!#13746=CARTESIAN_POINT('',(-18.3964754624851,-0.0443458820805784,9.77011811023623))!#13747=CARTESIAN_POINT('',(-18.3964754624851,-0.0443458820805784,9.77011811023623))!#13748=CARTESIAN_POINT('',(-20.2290302146311,-0.0443458820805821,9.77011811023623))!#13749=CARTESIAN_POINT('Ctrl Pts',(-18.3964754624851,-0.0443458820805784, 9.77011811023623))!#13750=CARTESIAN_POINT('Ctrl Pts',(-18.3964754624851,-0.0443458820805784, 9.76997637795277))!#13751=CARTESIAN_POINT('Ctrl Pts',(-18.3381906296442,-0.0443458820805784, 9.77011811023623))!#13752=CARTESIAN_POINT('Ctrl Pts',(-18.3381906296442,-0.0443458820805784, 9.76997637795277))!#13753=CARTESIAN_POINT('Ctrl Pts',(-18.2968459763273,-0.0432897437204903, 9.77011811023623))!#13754=CARTESIAN_POINT('Ctrl Pts',(-18.2968459763273,-0.0432897437204903, 9.76997637795277))!#13755=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961329,-0.0404500374176369, 9.77011811023623))!#13756=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961329,-0.0404500374176369, 9.76997637795277))!#13757=CARTESIAN_POINT('',(-18.2656500961661,-0.0404500374041673,9.77000000000001))!#13758=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961329,-0.0404500374176369, 9.77000000000001))!#13759=CARTESIAN_POINT('Ctrl Pts',(-18.2968459763273,-0.0432897437204903, 9.77000000000001))!#13760=CARTESIAN_POINT('Ctrl Pts',(-18.3381906296442,-0.0443458820805784, 9.77000000000001))!#13761=CARTESIAN_POINT('Ctrl Pts',(-18.3964754624851,-0.0443458820805784, 9.77000000000001))!#13762=CARTESIAN_POINT('',(-18.2656500961715,-0.0404500374087854,9.77011811023623))!#13763=CARTESIAN_POINT('',(-18.2656500961878,-0.0404500374226395,9.77011811023623))!#13764=CARTESIAN_POINT('Ctrl Pts',(-18.3964754624851,-0.0443458820805784, 9.77011811023623))!#13765=CARTESIAN_POINT('Ctrl Pts',(-18.3381906296784,-0.0443458820805784, 9.77011811023623))!#13766=CARTESIAN_POINT('Ctrl Pts',(-18.2968459763759,-0.0432897437217306, 9.77011811023623))!#13767=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961878,-0.0404500374226395, 9.77011811023623))!#13768=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961389,-0.040450037381077, 9.77011811023623))!#13769=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961389,-0.040450037381077, 9.76997637795277))!#13770=CARTESIAN_POINT('Ctrl Pts',(-18.2459006495259,-0.0386045266994021, 9.77011811023623))!#13771=CARTESIAN_POINT('Ctrl Pts',(-18.2459006495259,-0.0386045266994021, 9.76997637795277))!#13772=CARTESIAN_POINT('Ctrl Pts',(-18.2302076778374,-0.0360701193215194, 9.77011811023623))!#13773=CARTESIAN_POINT('Ctrl Pts',(-18.2302076778374,-0.0360701193215194, 9.76997637795277))!#13774=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913612,-0.0327145578009296, 9.77011811023623))!#13775=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913612,-0.0327145578009296, 9.76997637795277))!#13776=CARTESIAN_POINT('',(-18.2168297913487,-0.0327145578508065,9.77000000000001))!#13777=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913612,-0.0327145578009296, 9.77000000000001))!#13778=CARTESIAN_POINT('Ctrl Pts',(-18.2302076778374,-0.0360701193215194, 9.77000000000001))!#13779=CARTESIAN_POINT('Ctrl Pts',(-18.2459006495259,-0.0386045266994021, 9.77000000000001))!#13780=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961389,-0.040450037381077, 9.77000000000001))!#13781=CARTESIAN_POINT('',(-18.2168297913487,-0.0327145578508065,9.77011811023623))!#13782=CARTESIAN_POINT('',(-18.2168297913388,-0.0327145578907205,9.77011811023623))!#13783=CARTESIAN_POINT('Ctrl Pts',(-18.2656500961389,-0.040450037381077, 9.77011811023623))!#13784=CARTESIAN_POINT('Ctrl Pts',(-18.2459006495259,-0.0386045266994021, 9.77011811023623))!#13785=CARTESIAN_POINT('Ctrl Pts',(-18.2302076778374,-0.0360701193215194, 9.77011811023623))!#13786=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913612,-0.0327145578009296, 9.77011811023623))!#13787=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913387,-0.0327145578907047, 9.77011811023623))!#13788=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913387,-0.0327145578907047, 9.76997637795277))!#13789=CARTESIAN_POINT('Ctrl Pts',(-18.2036545638596,-0.0293403014748761, 9.77011811023623))!#13790=CARTESIAN_POINT('Ctrl Pts',(-18.2036545638596,-0.0293403014748761, 9.76997637795277))!#13791=CARTESIAN_POINT('Ctrl Pts',(-18.1927668295792,-0.0250873839600818, 9.77011811023623))!#13792=CARTESIAN_POINT('Ctrl Pts',(-18.1927668295792,-0.0250873839600818, 9.76997637795277))!#13793=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77011811023623))!#13794=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.76997637795277))!#13795=CARTESIAN_POINT('',(-18.1825033271219,-0.0197776529200543,9.77000000000001))!#13796=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77000000000001))!#13797=CARTESIAN_POINT('Ctrl Pts',(-18.1927668295792,-0.0250873839600818, 9.77000000000001))!#13798=CARTESIAN_POINT('Ctrl Pts',(-18.2036545638596,-0.0293403014748761, 9.77000000000001))!#13799=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913387,-0.0327145578907047, 9.77000000000001))!#13800=CARTESIAN_POINT('',(-18.1825033271219,-0.0197776529200543,9.77011811023623))!#13801=CARTESIAN_POINT('',(-18.1825033271219,-0.0197776529200543,9.77011811023623))!#13802=CARTESIAN_POINT('Ctrl Pts',(-18.2168297913388,-0.0327145578907206, 9.77011811023624))!#13803=CARTESIAN_POINT('Ctrl Pts',(-18.2036545638596,-0.0293403014748761, 9.77011811023623))!#13804=CARTESIAN_POINT('Ctrl Pts',(-18.1927668295792,-0.0250873839600818, 9.77011811023623))!#13805=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77011811023623))!#13806=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77011811023623))!#13807=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.76997637795277))!#13808=CARTESIAN_POINT('Ctrl Pts',(-18.1195115302627,0.0158962728522784, 9.77011811023623))!#13809=CARTESIAN_POINT('Ctrl Pts',(-18.1195115302627,0.0158962728522784, 9.76997637795277))!#13810=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.0982784017379317, 9.77011811023623))!#13811=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.0982784017379317, 9.76997637795277))!#13812=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.77011811023623))!#13813=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.76997637795277))!#13814=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.77000000000001))!#13815=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.0982784017379317, 9.77000000000001))!#13816=CARTESIAN_POINT('Ctrl Pts',(-18.1195115302627,0.0158962728522784, 9.77000000000001))!#13817=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77000000000001))!#13818=CARTESIAN_POINT('Ctrl Pts',(-18.1825033271219,-0.0197776529200543, 9.77011811023623))!#13819=CARTESIAN_POINT('Ctrl Pts',(-18.1195115302627,0.0158962728522784, 9.77011811023623))!#13820=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.0982784017379317, 9.77011811023623))!#13821=CARTESIAN_POINT('Ctrl Pts',(-18.0893011787077,0.24079555665022,9.77011811023623))!#13822=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.406917105948273,9.77011811023623))!#13823=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77011811023623))!#13824=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.76997637795277))!#13825=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.0525769506831237, 9.77011811023623))!#13826=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.0525769506831237, 9.76997637795277))!#13827=CARTESIAN_POINT('Ctrl Pts',(-19.2558176764156,-0.00763710486106433, 9.77011811023623))!#13828=CARTESIAN_POINT('Ctrl Pts',(-19.2558176764156,-0.00763710486106433, 9.76997637795277))!#13829=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989744,0.0253183586929036, 9.77011811023623))!#13830=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989744,0.0253183586929036, 9.76997637795277))!#13831=CARTESIAN_POINT('',(-19.2672561988405,0.0253183583307059,9.77000000000001))!#13832=CARTESIAN_POINT('',(-19.2507749251621,-0.11605082997932,9.77000000000001))!#13833=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989744,0.0253183586929036, 9.77000000000001))!#13834=CARTESIAN_POINT('Ctrl Pts',(-19.2558176764156,-0.00763710486106433, 9.77000000000001))!#13835=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.0525769506831237, 9.77000000000001))!#13836=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77000000000001))!#13837=CARTESIAN_POINT('',(-19.2672561988405,0.0253183583307059,9.77011811023623))!#13838=CARTESIAN_POINT('',(-19.267256198802,0.0253183581964239,9.77011811023623))!#13839=CARTESIAN_POINT('',(-19.2507749251621,-0.11605082997932,9.77011811023623))!#13840=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77011811023623))!#13841=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.0525769510018719, 9.77011811023623))!#13842=CARTESIAN_POINT('Ctrl Pts',(-19.255817676365,-0.00763710531241536, 9.77011811023623))!#13843=CARTESIAN_POINT('Ctrl Pts',(-19.267256198802,0.0253183581964239, 9.77011811023623))!#13844=CARTESIAN_POINT('',(-19.2507749251621,-0.11605082997932,9.77011811023623))!#13845=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989173,0.0253183585992702, 9.77011811023623))!#13846=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989173,0.0253183585992702, 9.76997637795277))!#13847=CARTESIAN_POINT('Ctrl Pts',(-19.2703673377218,0.0342308093214961, 9.77011811023623))!#13848=CARTESIAN_POINT('Ctrl Pts',(-19.2703673377218,0.0342308093214961, 9.76997637795277))!#13849=CARTESIAN_POINT('Ctrl Pts',(-19.2739520240047,0.0422753617575318, 9.77011811023623))!#13850=CARTESIAN_POINT('Ctrl Pts',(-19.2739520240047,0.0422753617575318, 9.76997637795277))!#13851=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77011811023623))!#13852=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.76997637795277))!#13853=CARTESIAN_POINT('',(-19.2780392317959,0.0495883138594777,9.77000000000001))!#13854=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77000000000001))!#13855=CARTESIAN_POINT('Ctrl Pts',(-19.2739520240047,0.0422753617575318, 9.77000000000001))!#13856=CARTESIAN_POINT('Ctrl Pts',(-19.2703673377218,0.0342308093214961, 9.77000000000001))!#13857=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989173,0.0253183585992702, 9.77000000000001))!#13858=CARTESIAN_POINT('',(-19.2780392317959,0.0495883138594777,9.77011811023623))!#13859=CARTESIAN_POINT('',(-19.2780392317959,0.0495883138594777,9.77011811023623))!#13860=CARTESIAN_POINT('Ctrl Pts',(-19.2672561989173,0.0253183585992702, 9.77011811023623))!#13861=CARTESIAN_POINT('Ctrl Pts',(-19.2703673377218,0.0342308093214961, 9.77011811023623))!#13862=CARTESIAN_POINT('Ctrl Pts',(-19.2739520240047,0.0422753617575318, 9.77011811023623))!#13863=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77011811023623))!#13864=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77011811023623))!#13865=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.76997637795277))!#13866=CARTESIAN_POINT('Ctrl Pts',(-19.3001708346848,0.0893149430201109, 9.77011811023623))!#13867=CARTESIAN_POINT('Ctrl Pts',(-19.3001708346848,0.0893149430201109, 9.76997637795277))!#13868=CARTESIAN_POINT('Ctrl Pts',(-19.3422047930822,0.11730258075422,9.77011811023623))!#13869=CARTESIAN_POINT('Ctrl Pts',(-19.3422047930822,0.11730258075422,9.76997637795277))!#13870=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868304,0.132215896029004, 9.77011811023623))!#13871=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868304,0.132215896029004, 9.76997637795277))!#13872=CARTESIAN_POINT('',(-19.4007623868255,0.132215896048276,9.77000000000001))!#13873=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868304,0.132215896029004, 9.77000000000001))!#13874=CARTESIAN_POINT('Ctrl Pts',(-19.3422047930822,0.11730258075422,9.77000000000001))!#13875=CARTESIAN_POINT('Ctrl Pts',(-19.3001708346848,0.0893149430201109, 9.77000000000001))!#13876=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77000000000001))!#13877=CARTESIAN_POINT('',(-19.4007623868255,0.132215896048276,9.77011811023623))!#13878=CARTESIAN_POINT('',(-19.4007623868215,0.132215896063697,9.77011811023623))!#13879=CARTESIAN_POINT('Ctrl Pts',(-19.2780392317959,0.0495883138594777, 9.77011811023623))!#13880=CARTESIAN_POINT('Ctrl Pts',(-19.3001708346848,0.0893149430201109, 9.77011811023623))!#13881=CARTESIAN_POINT('Ctrl Pts',(-19.3422047930822,0.11730258075422,9.77011811023623))!#13882=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868304,0.132215896029004, 9.77011811023623))!#13883=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868215,0.132215896063693, 9.77011811023623))!#13884=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868215,0.132215896063693, 9.76997637795277))!#13885=CARTESIAN_POINT('Ctrl Pts',(-19.4331605672346,0.140373744368342, 9.77011811023623))!#13886=CARTESIAN_POINT('Ctrl Pts',(-19.4331605672346,0.140373744368342, 9.76997637795277))!#13887=CARTESIAN_POINT('Ctrl Pts',(-19.4706371604104,0.144540234408655, 9.77011811023623))!#13888=CARTESIAN_POINT('Ctrl Pts',(-19.4706371604104,0.144540234408655, 9.76997637795277))!#13889=CARTESIAN_POINT('Ctrl Pts',(-19.5126336816071,0.144540234408655, 9.77011811023623))!#13890=CARTESIAN_POINT('Ctrl Pts',(-19.5126336816071,0.144540234408655, 9.76997637795277))!#13891=CARTESIAN_POINT('',(-19.5126336816071,0.144540234408655,9.77000000000001))!#13892=CARTESIAN_POINT('Ctrl Pts',(-19.5126336816071,0.144540234408655, 9.77000000000001))!#13893=CARTESIAN_POINT('Ctrl Pts',(-19.4706371604104,0.144540234408655, 9.77000000000001))!#13894=CARTESIAN_POINT('Ctrl Pts',(-19.4331605672346,0.140373744368342, 9.77000000000001))!#13895=CARTESIAN_POINT('Ctrl Pts',(-19.4007623868215,0.132215896063693, 9.77000000000001))!#13896=CARTESIAN_POINT('',(-19.5126336816071,0.144540234408655,9.77011811023623))!#13897=CARTESIAN_POINT('',(-19.5126336816071,0.144540234408655,9.77011811023623))!#13898=CARTESIAN_POINT('Origin',(-20.0346090695322,-0.371109469656297,9.77011811023623))!#13899=CARTESIAN_POINT('Origin',(-20.2290302146311,0.144540234408654,9.77011811023623))!#13900=CARTESIAN_POINT('',(-20.010908079269,0.144540234408654,9.77000000000001))!#13901=CARTESIAN_POINT('',(-19.3375151073156,0.144540234408656,9.76999999999999))!#13902=CARTESIAN_POINT('',(-20.010908079269,0.144540234408654,9.77011811023623))!#13903=CARTESIAN_POINT('',(-20.010908079269,0.144540234408654,9.77011811023623))!#13904=CARTESIAN_POINT('',(-20.2290302146311,0.144540234408654,9.77011811023623))!#13905=CARTESIAN_POINT('Origin',(-20.010908079269,-0.406917105948272,9.77011811023623))!#13906=CARTESIAN_POINT('',(-20.0109080792689,0.314553808584897,9.77000000000002))!#13907=CARTESIAN_POINT('',(-20.010908079269,1.01779144702586,9.76999999999999))!#13908=CARTESIAN_POINT('',(-20.0109080792689,0.314553808584897,9.77011811023623))!#13909=CARTESIAN_POINT('',(-20.010908079269,0.314553808584897,9.77011811023623))!#13910=CARTESIAN_POINT('',(-20.010908079269,-0.406917105948272,9.77011811023623))!#13911=CARTESIAN_POINT('Origin',(-20.0108902244513,-0.406917105948272,9.77011811023623))!#13912=CARTESIAN_POINT('',(-20.0108902244513,0.314803776032755,9.77000000000001))!#13913=CARTESIAN_POINT('',(-20.0108902244513,1.01779144702586,9.76999999999999))!#13914=CARTESIAN_POINT('',(-20.0108902244513,0.314803776032755,9.77011811023623))!#13915=CARTESIAN_POINT('',(-20.0108902244513,0.314803776032755,9.77011811023623))!#13916=CARTESIAN_POINT('',(-20.0108902244513,-0.406917105948272,9.77011811023623))!#13917=CARTESIAN_POINT('Origin',(-20.2290302146311,0.314803776032754,9.77011811023623))!#13918=CARTESIAN_POINT('',(-19.2750039127863,0.314803776032756,9.77000000000001))!#13919=CARTESIAN_POINT('',(-19.3375151073156,0.314803776032756,9.76999999999999))!#13920=CARTESIAN_POINT('',(-19.2750039127863,0.314803776032756,9.77011811023623))!#13921=CARTESIAN_POINT('',(-19.2750039127863,0.314803776032756,9.77011811023623))!#13922=CARTESIAN_POINT('',(-20.2290302146311,0.314803776032754,9.77011811023623))!#13923=CARTESIAN_POINT('Origin',(-19.273124468778,-0.404419172128052,9.77011811023623))!#13924=CARTESIAN_POINT('',(-19.275503847682,0.506118147729718,9.77000000000001))!#13925=CARTESIAN_POINT('',(-19.2768413457488,1.01794999721941,9.76999999999999))!#13926=CARTESIAN_POINT('',(-19.275503847682,0.506118147729718,9.77011811023623))!#13927=CARTESIAN_POINT('',(-19.275503847682,0.506118147729718,9.77011811023623))!#13928=CARTESIAN_POINT('',(-19.273124468778,-0.404419172128052,9.77011811023623))!#13929=CARTESIAN_POINT('Origin',(-20.2290302146311,0.506118147729716,9.77011811023623))!#13930=CARTESIAN_POINT('',(-19.8712119855539,0.506118147729717,9.77000000000001))!#13931=CARTESIAN_POINT('',(-19.3375151073156,0.506118147729718,9.76999999999999))!#13932=CARTESIAN_POINT('',(-19.8712119855539,0.506118147729717,9.77011811023623))!#13933=CARTESIAN_POINT('',(-19.8712119855539,0.506118147729717,9.77011811023623))!#13934=CARTESIAN_POINT('',(-20.2290302146311,0.506118147729716,9.77011811023623))!#13935=CARTESIAN_POINT('Ctrl Pts',(-19.8712119855539,0.506118147729717, 9.77011811023623))!#13936=CARTESIAN_POINT('Ctrl Pts',(-19.8712119855539,0.506118147729717, 9.76997637795277))!#13937=CARTESIAN_POINT('Ctrl Pts',(-20.1561570212899,0.506118147729716, 9.77011811023623))!#13938=CARTESIAN_POINT('Ctrl Pts',(-20.1561570212899,0.506118147729716, 9.76997637795277))!#13939=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.449429101519932, 9.77011811023623))!#13940=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.449429101519932, 9.76997637795277))!#13941=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77011811023623))!#13942=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.76997637795277))!#13943=CARTESIAN_POINT('',(-20.2315579164534,0.230779003918342,9.77000000000001))!#13944=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77000000000001))!#13945=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.449429101519932, 9.77000000000001))!#13946=CARTESIAN_POINT('Ctrl Pts',(-20.1561570212899,0.506118147729716, 9.77000000000001))!#13947=CARTESIAN_POINT('Ctrl Pts',(-19.8712119855539,0.506118147729717, 9.77000000000001))!#13948=CARTESIAN_POINT('',(-20.2315579164534,0.230779003918342,9.77011811023623))!#13949=CARTESIAN_POINT('',(-20.2315579164534,0.230779003918342,9.77011811023623))!#13950=CARTESIAN_POINT('Ctrl Pts',(-19.8712119855539,0.506118147729717, 9.77011811023623))!#13951=CARTESIAN_POINT('Ctrl Pts',(-20.1561570212899,0.506118147729716, 9.77011811023623))!#13952=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.449429101519932, 9.77011811023623))!#13953=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77011811023623))!#13954=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77011811023623))!#13955=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.76997637795277))!#13956=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.16555027599398,9.77011811023623))!#13957=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.16555027599398,9.76997637795277))!#13958=CARTESIAN_POINT('Ctrl Pts',(-20.2237289755936,0.113457414691425, 9.77011811023623))!#13959=CARTESIAN_POINT('Ctrl Pts',(-20.2237289755936,0.113457414691425, 9.76997637795277))!#13960=CARTESIAN_POINT('Ctrl Pts',(-20.2076904531276,0.0726038941855844, 9.77011811023623))!#13961=CARTESIAN_POINT('Ctrl Pts',(-20.2076904531276,0.0726038941855844, 9.76997637795277))!#13962=CARTESIAN_POINT('',(-20.2076904531796,0.0726038941651577,9.77000000000001))!#13963=CARTESIAN_POINT('Ctrl Pts',(-20.2076904531276,0.0726038941855844, 9.77000000000001))!#13964=CARTESIAN_POINT('Ctrl Pts',(-20.2237289755936,0.113457414691425, 9.77000000000001))!#13965=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.16555027599398,9.77000000000001))!#13966=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77000000000001))!#13967=CARTESIAN_POINT('',(-20.2076904531796,0.0726038941651577,9.77011811023623))!#13968=CARTESIAN_POINT('',(-20.2076904532279,0.0726038941462074,9.77011811023623))!#13969=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.230779003918342, 9.77011811023623))!#13970=CARTESIAN_POINT('Ctrl Pts',(-20.2315579164534,0.16555027599398,9.77011811023623))!#13971=CARTESIAN_POINT('Ctrl Pts',(-20.2237289755936,0.113457414691425, 9.77011811023623))!#13972=CARTESIAN_POINT('Ctrl Pts',(-20.2076904531276,0.0726038941855844, 9.77011811023623))!#13973=CARTESIAN_POINT('Ctrl Pts',(-20.2076904532279,0.0726038941461888, 9.77011811023623))!#13974=CARTESIAN_POINT('Ctrl Pts',(-20.2076904532279,0.0726038941461888, 9.76997637795277))!#13975=CARTESIAN_POINT('Ctrl Pts',(-20.2006400722514,0.0547077313505547, 9.77011811023623))!#13976=CARTESIAN_POINT('Ctrl Pts',(-20.2006400722514,0.0547077313505547, 9.76997637795277))!#13977=CARTESIAN_POINT('Ctrl Pts',(-20.1920180326225,0.0389418863826109, 9.77011811023623))!#13978=CARTESIAN_POINT('Ctrl Pts',(-20.1920180326225,0.0389418863826109, 9.76997637795277))!#13979=CARTESIAN_POINT('Ctrl Pts',(-20.1817963803838,0.0251473944047916, 9.77011811023623))!#13980=CARTESIAN_POINT('Ctrl Pts',(-20.1817963803838,0.0251473944047916, 9.76997637795277))!#13981=CARTESIAN_POINT('',(-20.1817963805001,0.0251473946474885,9.77000000000001))!#13982=CARTESIAN_POINT('Ctrl Pts',(-20.1817963803838,0.0251473944047916, 9.77000000000001))!#13983=CARTESIAN_POINT('Ctrl Pts',(-20.1920180326225,0.0389418863826109, 9.77000000000001))!#13984=CARTESIAN_POINT('Ctrl Pts',(-20.2006400722514,0.0547077313505547, 9.77000000000001))!#13985=CARTESIAN_POINT('Ctrl Pts',(-20.2076904532279,0.0726038941461888, 9.77000000000001))!#13986=CARTESIAN_POINT('',(-20.1817963805001,0.0251473946474885,9.77011811023623))!#13987=CARTESIAN_POINT('',(-20.181796380638,0.0251473947477349,9.77011811023623))!#13988=CARTESIAN_POINT('Ctrl Pts',(-20.2076904532279,0.0726038941462074, 9.77011811023623))!#13989=CARTESIAN_POINT('Ctrl Pts',(-20.2006400723098,0.0547077314988709, 9.77011811023623))!#13990=CARTESIAN_POINT('Ctrl Pts',(-20.1920180327654,0.0389418866439182, 9.77011811023623))!#13991=CARTESIAN_POINT('Ctrl Pts',(-20.181796380638,0.0251473947477349, 9.77011811023623))!#13992=CARTESIAN_POINT('Ctrl Pts',(-20.1817963802243,0.0251473944469958, 9.77011811023623))!#13993=CARTESIAN_POINT('Ctrl Pts',(-20.1817963802243,0.0251473944469958, 9.76997637795277))!#13994=CARTESIAN_POINT('Ctrl Pts',(-20.1686714114391,0.00749984268578157, 9.77011811023623))!#13995=CARTESIAN_POINT('Ctrl Pts',(-20.1686714114391,0.00749984268578157, 9.76997637795277))!#13996=CARTESIAN_POINT('Ctrl Pts',(-20.1528925768501,-0.00685060202867107, 9.77011811023623))!#13997=CARTESIAN_POINT('Ctrl Pts',(-20.1528925768501,-0.00685060202867107, 9.76997637795277))!#13998=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77011811023623))!#13999=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.76997637795277))!#14000=CARTESIAN_POINT('',(-20.1343919985089,-0.0182421385975247,9.77000000000001))!#14001=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77000000000001))!#14002=CARTESIAN_POINT('Ctrl Pts',(-20.1528925768501,-0.00685060202867107, 9.77000000000001))!#14003=CARTESIAN_POINT('Ctrl Pts',(-20.1686714114391,0.00749984268578157, 9.77000000000001))!#14004=CARTESIAN_POINT('Ctrl Pts',(-20.1817963802243,0.0251473944469958, 9.77000000000001))!#14005=CARTESIAN_POINT('',(-20.1343919985089,-0.0182421385975247,9.77011811023623))!#14006=CARTESIAN_POINT('',(-20.1343919985089,-0.0182421385975247,9.77011811023623))!#14007=CARTESIAN_POINT('Ctrl Pts',(-20.1817963802243,0.0251473944469958, 9.77011811023623))!#14008=CARTESIAN_POINT('Ctrl Pts',(-20.1686714114391,0.00749984268578157, 9.77011811023623))!#14009=CARTESIAN_POINT('Ctrl Pts',(-20.1528925768501,-0.00685060202867107, 9.77011811023623))!#14010=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77011811023623))!#14011=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77011811023623))!#14012=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.76997637795277))!#14013=CARTESIAN_POINT('Ctrl Pts',(-20.1248541943215,-0.0244005688650319, 9.77011811023623))!#14014=CARTESIAN_POINT('Ctrl Pts',(-20.1248541943215,-0.0244005688650319, 9.76997637795277))!#14015=CARTESIAN_POINT('Ctrl Pts',(-20.1132343927508,-0.0294550005200502, 9.77011811023623))!#14016=CARTESIAN_POINT('Ctrl Pts',(-20.1132343927508,-0.0294550005200502, 9.76997637795277))!#14017=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336204,-0.0335280383610905, 9.77011811023623))!#14018=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336204,-0.0335280383610905, 9.76997637795277))!#14019=CARTESIAN_POINT('',(-20.0991004336142,-0.0335280383398811,9.77000000000001))!#14020=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336204,-0.0335280383610905, 9.77000000000001))!#14021=CARTESIAN_POINT('Ctrl Pts',(-20.1132343927508,-0.0294550005200502, 9.77000000000001))!#14022=CARTESIAN_POINT('Ctrl Pts',(-20.1248541943215,-0.0244005688650319, 9.77000000000001))!#14023=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77000000000001))!#14024=CARTESIAN_POINT('',(-20.0991004336142,-0.033528038339881,9.77011811023623))!#14025=CARTESIAN_POINT('',(-20.0991004336111,-0.0335280383292934,9.77011811023623))!#14026=CARTESIAN_POINT('Ctrl Pts',(-20.1343919985089,-0.0182421385975247, 9.77011811023623))!#14027=CARTESIAN_POINT('Ctrl Pts',(-20.1248541943215,-0.0244005688650319, 9.77011811023623))!#14028=CARTESIAN_POINT('Ctrl Pts',(-20.1132343927508,-0.0294550005200502, 9.77011811023623))!#14029=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336204,-0.0335280383610905, 9.77011811023623))!#14030=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336112,-0.0335280383292593, 9.77011811023623))!#14031=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336112,-0.0335280383292593, 9.76997637795277))!#14032=CARTESIAN_POINT('Ctrl Pts',(-20.0644871112434,-0.0433256160519487, 9.77011811023623))!#14033=CARTESIAN_POINT('Ctrl Pts',(-20.0644871112434,-0.0433256160519487, 9.76997637795277))!#14034=CARTESIAN_POINT('Ctrl Pts',(-20.0148123824197,-0.0474883299964617, 9.77011811023623))!#14035=CARTESIAN_POINT('Ctrl Pts',(-20.0148123824197,-0.0474883299964617, 9.76997637795277))!#14036=CARTESIAN_POINT('Ctrl Pts',(-19.9437204002493,-0.0474883299964616, 9.77011811023623))!#14037=CARTESIAN_POINT('Ctrl Pts',(-19.9437204002493,-0.0474883299964616, 9.76997637795277))!#14038=CARTESIAN_POINT('',(-19.9437204002493,-0.0474883299964616,9.77000000000001))!#14039=CARTESIAN_POINT('Ctrl Pts',(-19.9437204002493,-0.0474883299964616, 9.77000000000001))!#14040=CARTESIAN_POINT('Ctrl Pts',(-20.0148123824197,-0.0474883299964617, 9.77000000000001))!#14041=CARTESIAN_POINT('Ctrl Pts',(-20.0644871112434,-0.0433256160519487, 9.77000000000001))!#14042=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336112,-0.0335280383292593, 9.77000000000001))!#14043=CARTESIAN_POINT('',(-19.9437204002493,-0.0474883299964616,9.77011811023623))!#14044=CARTESIAN_POINT('',(-19.9437204002493,-0.0474883299964616,9.77011811023623))!#14045=CARTESIAN_POINT('Ctrl Pts',(-20.0991004336111,-0.0335280383292934, 9.77011811023623))!#14046=CARTESIAN_POINT('Ctrl Pts',(-20.0644871112434,-0.0433256160519487, 9.77011811023623))!#14047=CARTESIAN_POINT('Ctrl Pts',(-20.0148123824197,-0.0474883299964617, 9.77011811023623))!#14048=CARTESIAN_POINT('Ctrl Pts',(-19.9437204002493,-0.0474883299964616, 9.77011811023623))!#14049=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.0474883299964622, 9.77011811023623))!#14050=CARTESIAN_POINT('',(-19.470192779925,-0.0474883299964607,9.77000000000001))!#14051=CARTESIAN_POINT('',(-19.3375151073156,-0.0474883299964606,9.76999999999999))!#14052=CARTESIAN_POINT('',(-19.470192779925,-0.0474883299964607,9.77011811023623))!#14053=CARTESIAN_POINT('',(-19.470192779925,-0.0474883299964607,9.77011811023623))!#14054=CARTESIAN_POINT('',(-20.2290302146311,-0.0474883299964622,9.77011811023623))!#14055=CARTESIAN_POINT('Origin',(-19.470192779925,-0.406917105948271,9.77011811023623))!#14056=CARTESIAN_POINT('',(-19.470192779925,-0.225715120316487,9.77000000000001))!#14057=CARTESIAN_POINT('',(-19.470192779925,1.01779144702586,9.76999999999999))!#14058=CARTESIAN_POINT('',(-19.470192779925,-0.225715120316487,9.77011811023623))!#14059=CARTESIAN_POINT('',(-19.470192779925,-0.225715120316487,9.77011811023623))!#14060=CARTESIAN_POINT('',(-19.470192779925,-0.406917105948271,9.77011811023623))!#14061=CARTESIAN_POINT('Origin',(-20.228772839421,-0.224643982135897,9.77011811023623))!#14062=CARTESIAN_POINT('',(-19.4703534732843,-0.225714893412562,9.77000000000001))!#14063=CARTESIAN_POINT('',(-19.3392712375507,-0.225899985527407,9.76999999999999))!#14064=CARTESIAN_POINT('',(-19.4703534732843,-0.225714893412562,9.77011811023623))!#14065=CARTESIAN_POINT('',(-19.4703534732843,-0.225714893412562,9.77011811023623))!#14066=CARTESIAN_POINT('',(-20.228772839421,-0.224643982135897,9.77011811023623))!#14067=CARTESIAN_POINT('Origin',(-19.4703534732843,-0.406917105948271,9.77011811023623))!#14068=CARTESIAN_POINT('',(-19.4703534732843,-0.225750829951895,9.77000000000001))!#14069=CARTESIAN_POINT('',(-19.4703534732843,1.01779144702586,9.76999999999999))!#14070=CARTESIAN_POINT('',(-19.4703534732843,-0.225750829951895,9.77011811023623))!#14071=CARTESIAN_POINT('',(-19.4703534732843,-0.225750829951895,9.77011811023623))!#14072=CARTESIAN_POINT('',(-19.4703534732843,-0.406917105948271,9.77011811023623))!#14073=CARTESIAN_POINT('Origin',(-20.2287815163557,-0.224715603874092,9.77011811023623))!#14074=CARTESIAN_POINT('',(-20.2288797218969,-0.22471546982718,9.77000000000001))!#14075=CARTESIAN_POINT('',(-19.3392127406158,-0.22592983217572,9.76999999999999))!#14076=CARTESIAN_POINT('',(-20.2288797218969,-0.22471546982718,9.77011811023623))!#14077=CARTESIAN_POINT('',(-20.2288797218969,-0.22471546982718,9.77011811023623))!#14078=CARTESIAN_POINT('',(-20.2287815163557,-0.224715603874092,9.77011811023623))!#14079=CARTESIAN_POINT('Origin',(-20.2289511875439,-0.406917136945365,9.77011811023623))!#14080=CARTESIAN_POINT('',(-20.2289511130686,-0.40672726219832,9.77000000000001))!#14081=CARTESIAN_POINT('',(-20.2283922316639,1.01814087912015,9.76999999999999))!#14082=CARTESIAN_POINT('',(-20.2289511130686,-0.40672726219832,9.77011811023623))!#14083=CARTESIAN_POINT('',(-20.2289511130686,-0.40672726219832,9.77011811023623))!#14084=CARTESIAN_POINT('',(-20.2289511875439,-0.406917136945365,9.77011811023623))!#14085=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.40672726219832,9.77011811023623))!#14086=CARTESIAN_POINT('',(-19.6072820702553,-0.406727262198319,9.77000000000001))!#14087=CARTESIAN_POINT('',(-19.3375151073156,-0.406727262198318,9.76999999999999))!#14088=CARTESIAN_POINT('',(-19.6072820702553,-0.406727262198319,9.77011811023623))!#14089=CARTESIAN_POINT('',(-19.6072820702553,-0.406727262198319,9.77011811023623))!#14090=CARTESIAN_POINT('',(-20.2290302146311,-0.40672726219832,9.77011811023623))!#14091=CARTESIAN_POINT('Ctrl Pts',(-19.6072820702553,-0.406727262198319, 9.77011811023623))!#14092=CARTESIAN_POINT('Ctrl Pts',(-19.6072820702553,-0.406727262198319, 9.76997637795277))!#14093=CARTESIAN_POINT('Ctrl Pts',(-19.4810050721882,-0.406727262198319, 9.77011811023623))!#14094=CARTESIAN_POINT('Ctrl Pts',(-19.4810050721882,-0.406727262198319, 9.76997637795277))!#14095=CARTESIAN_POINT('Ctrl Pts',(-19.4259758532976,-0.405517485553936, 9.77011811023623))!#14096=CARTESIAN_POINT('Ctrl Pts',(-19.4259758532976,-0.405517485553936, 9.76997637795277))!#14097=CARTESIAN_POINT('Ctrl Pts',(-19.3880648211955,-0.396376452737714, 9.77011811023623))!#14098=CARTESIAN_POINT('Ctrl Pts',(-19.3880648211955,-0.396376452737714, 9.76997637795277))!#14099=CARTESIAN_POINT('',(-19.3880648212204,-0.396376452635147,9.77000000000001))!#14100=CARTESIAN_POINT('Ctrl Pts',(-19.3880648211955,-0.396376452737714, 9.77000000000001))!#14101=CARTESIAN_POINT('Ctrl Pts',(-19.4259758532976,-0.405517485553936, 9.77000000000001))!#14102=CARTESIAN_POINT('Ctrl Pts',(-19.4810050721882,-0.406727262198319, 9.77000000000001))!#14103=CARTESIAN_POINT('Ctrl Pts',(-19.6072820702553,-0.406727262198319, 9.77000000000001))!#14104=CARTESIAN_POINT('',(-19.3880648212204,-0.396376452635147,9.77011811023623))!#14105=CARTESIAN_POINT('',(-19.3880648211963,-0.396376452737913,9.77011811023623))!#14106=CARTESIAN_POINT('Ctrl Pts',(-19.6072820702553,-0.406727262198319, 9.77011811023623))!#14107=CARTESIAN_POINT('Ctrl Pts',(-19.4810050721882,-0.406727262198319, 9.77011811023623))!#14108=CARTESIAN_POINT('Ctrl Pts',(-19.4259758532976,-0.405517485553936, 9.77011811023623))!#14109=CARTESIAN_POINT('Ctrl Pts',(-19.3880648211963,-0.396376452737913, 9.77011811023623))!#14110=CARTESIAN_POINT('Ctrl Pts',(-19.3880648212471,-0.396376452524675, 9.77011811023623))!#14111=CARTESIAN_POINT('Ctrl Pts',(-19.3880648212471,-0.396376452524675, 9.76997637795277))!#14112=CARTESIAN_POINT('Ctrl Pts',(-19.3807447313724,-0.394603676563416, 9.77011811023623))!#14113=CARTESIAN_POINT('Ctrl Pts',(-19.3807447313724,-0.394603676563416, 9.76997637795277))!#14114=CARTESIAN_POINT('Ctrl Pts',(-19.3740571887618,-0.392540040840731, 9.77011811023623))!#14115=CARTESIAN_POINT('Ctrl Pts',(-19.3740571887618,-0.392540040840731, 9.76997637795277))!#14116=CARTESIAN_POINT('Ctrl Pts',(-19.3676116372099,-0.390139287109724, 9.77011811023623))!#14117=CARTESIAN_POINT('Ctrl Pts',(-19.3676116372099,-0.390139287109724, 9.76997637795277))!#14118=CARTESIAN_POINT('',(-19.3676116372292,-0.390139287227561,9.77000000000001))!#14119=CARTESIAN_POINT('Ctrl Pts',(-19.3676116372099,-0.390139287109724, 9.77000000000001))!#14120=CARTESIAN_POINT('Ctrl Pts',(-19.3740571887618,-0.392540040840731, 9.77000000000001))!#14121=CARTESIAN_POINT('Ctrl Pts',(-19.3807447313724,-0.394603676563416, 9.77000000000001))!#14122=CARTESIAN_POINT('Ctrl Pts',(-19.3880648212471,-0.396376452524675, 9.77000000000001))!#14123=CARTESIAN_POINT('',(-19.367611637199,-0.390139287247954,9.77011811023623))!#14124=CARTESIAN_POINT('',(-19.3676116372493,-0.390139287337921,9.77011811023623))!#14125=CARTESIAN_POINT('Ctrl Pts',(-19.3880648212471,-0.396376452524675, 9.77011811023623))!#14126=CARTESIAN_POINT('Ctrl Pts',(-19.3807447313724,-0.394603676563416, 9.77011811023623))!#14127=CARTESIAN_POINT('Ctrl Pts',(-19.3740571887618,-0.392540040840731, 9.77011811023623))!#14128=CARTESIAN_POINT('Ctrl Pts',(-19.3676116372099,-0.390139287109724, 9.77011811023623))!#14129=CARTESIAN_POINT('Ctrl Pts',(-19.3676116371378,-0.390139287296218, 9.77011811023623))!#14130=CARTESIAN_POINT('Ctrl Pts',(-19.3676116371378,-0.390139287296218, 9.76997637795277))!#14131=CARTESIAN_POINT('Ctrl Pts',(-19.3577958652881,-0.386468922166213, 9.77011811023623))!#14132=CARTESIAN_POINT('Ctrl Pts',(-19.3577958652881,-0.386468922166213, 9.76997637795277))!#14133=CARTESIAN_POINT('Ctrl Pts',(-19.3485579334026,-0.381997332854359, 9.77011811023623))!#14134=CARTESIAN_POINT('Ctrl Pts',(-19.3485579334026,-0.381997332854359, 9.76997637795277))!#14135=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77011811023623))!#14136=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.76997637795277))!#14137=CARTESIAN_POINT('',(-19.3385134993589,-0.376552620278778,9.77000000000001))!#14138=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77000000000001))!#14139=CARTESIAN_POINT('Ctrl Pts',(-19.3485579334026,-0.381997332854359, 9.77000000000001))!#14140=CARTESIAN_POINT('Ctrl Pts',(-19.3577958652881,-0.386468922166213, 9.77000000000001))!#14141=CARTESIAN_POINT('Ctrl Pts',(-19.3676116371378,-0.390139287296218, 9.77000000000001))!#14142=CARTESIAN_POINT('',(-19.3385134993589,-0.376552620278778,9.77011811023623))!#14143=CARTESIAN_POINT('',(-19.3385134993589,-0.376552620278778,9.77011811023623))!#14144=CARTESIAN_POINT('Ctrl Pts',(-19.3676116371378,-0.390139287296218, 9.77011811023623))!#14145=CARTESIAN_POINT('Ctrl Pts',(-19.3577958652881,-0.386468922166213, 9.77011811023623))!#14146=CARTESIAN_POINT('Ctrl Pts',(-19.3485579334026,-0.381997332854359, 9.77011811023623))!#14147=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77011811023623))!#14148=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77011811023623))!#14149=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.76997637795277))!#14150=CARTESIAN_POINT('Ctrl Pts',(-19.2699509993761,-0.341021533048078, 9.77011811023623))!#14151=CARTESIAN_POINT('Ctrl Pts',(-19.2699509993761,-0.341021533048078, 9.76997637795277))!#14152=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.283314762229172, 9.77011811023623))!#14153=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.283314762229172, 9.76997637795277))!#14154=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77011811023623))!#14155=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.76997637795277))!#14156=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77000000000001))!#14157=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.283314762229172, 9.77000000000001))!#14158=CARTESIAN_POINT('Ctrl Pts',(-19.2699509993761,-0.341021533048078, 9.77000000000001))!#14159=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77000000000001))!#14160=CARTESIAN_POINT('Ctrl Pts',(-19.3385134993589,-0.376552620278778, 9.77011811023623))!#14161=CARTESIAN_POINT('Ctrl Pts',(-19.2699509993761,-0.341021533048078, 9.77011811023623))!#14162=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.283314762229172, 9.77011811023623))!#14163=CARTESIAN_POINT('Ctrl Pts',(-19.2507749251621,-0.11605082997932, 9.77011811023623))!#14164=CARTESIAN_POINT('Origin',(-20.2290302146311,-0.406917105948273,9.77011811023623))!#14165=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.00000000000001))!#14166=CARTESIAN_POINT('',(-1.751,-6.64000000000001,-5.45604276115295))!#14167=CARTESIAN_POINT('',(-1.626,-6.51500000000001,-5.49355850717013))!#14168=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.64000000000001,-5.45604276115295))!#14169=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.65580097933303,-5.45604276115295))!#14170=CARTESIAN_POINT('Ctrl Pts',(-1.74782119402753,-6.67252032414303, -5.45750989411304))!#14171=CARTESIAN_POINT('Ctrl Pts',(-1.73518854596627,-6.70305425421341, -5.46287664600479))!#14172=CARTESIAN_POINT('Ctrl Pts',(-1.72574331317087,-6.71688310531983, -5.466715178365))!#14173=CARTESIAN_POINT('Ctrl Pts',(-1.70391257632983,-6.73901055495136, -5.4745545007173))!#14174=CARTESIAN_POINT('Ctrl Pts',(-1.68989794342896,-6.74873547925308, -5.47911312152261))!#14175=CARTESIAN_POINT('Ctrl Pts',(-1.6589715872225,-6.76173424550785,-5.48733296813938))!#14176=CARTESIAN_POINT('Ctrl Pts',(-1.64204673044481,-6.76500000000001, -5.49095752184228))!#14177=CARTESIAN_POINT('Ctrl Pts',(-1.61046896068221,-6.76500000000001, -5.49607590506192))!#14178=CARTESIAN_POINT('Ctrl Pts',(-1.59378501509511,-6.76192029714059, -5.49795051178748))!#14179=CARTESIAN_POINT('Ctrl Pts',(-1.56298755991597,-6.74923276368706, -5.49994954008468))!#14180=CARTESIAN_POINT('Ctrl Pts',(-1.54887772610468,-6.73962264522252, -5.50011584897593))!#14181=CARTESIAN_POINT('Ctrl Pts',(-1.52659886918902,-6.7174069782854,-5.4997476302557))!#14182=CARTESIAN_POINT('Ctrl Pts',(-1.51694991947844,-6.7033385633413,-5.49919661210187))!#14183=CARTESIAN_POINT('Ctrl Pts',(-1.50415023887716,-6.67250121508391, -5.49828682758764))!#14184=CARTESIAN_POINT('Ctrl Pts',(-1.501,-6.65573082908715,-5.49797088268292))!#14185=CARTESIAN_POINT('Ctrl Pts',(-1.501,-6.62429890665191,-5.49797088268292))!#14186=CARTESIAN_POINT('Ctrl Pts',(-1.50413844163793,-6.60755815312519, -5.49828555099388))!#14187=CARTESIAN_POINT('Ctrl Pts',(-1.51689372886188,-6.57676603103838, -5.49919305387746))!#14188=CARTESIAN_POINT('Ctrl Pts',(-1.52651008412055,-6.56271322058282, -5.49974335682844))!#14189=CARTESIAN_POINT('Ctrl Pts',(-1.54875734799194,-6.54046595671143, -5.50011664493166))!#14190=CARTESIAN_POINT('Ctrl Pts',(-1.56288294811741,-6.53082314904148, -5.49995378279941))!#14191=CARTESIAN_POINT('Ctrl Pts',(-1.59372590434999,-6.51809130625179, -5.49795688263257))!#14192=CARTESIAN_POINT('Ctrl Pts',(-1.61043957766646,-6.51500000000001, -5.49608066770146))!#14193=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.51500000000001,-5.49355850717013))!#14194=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.51500000000001,-5.49355850717013))!#14195=CARTESIAN_POINT('Ctrl Pts',(-1.64190311702952,-6.51500000000001, -5.49098079987935))!#14196=CARTESIAN_POINT('Ctrl Pts',(-1.65868836310547,-6.51820840732595, -5.48739483460029))!#14197=CARTESIAN_POINT('Ctrl Pts',(-1.68940249424039,-6.53099612665591, -5.47925788110681))!#14198=CARTESIAN_POINT('Ctrl Pts',(-1.70334412486987,-6.54056742957324, -5.47474246199207))!#14199=CARTESIAN_POINT('Ctrl Pts',(-1.7253203100794,-6.56254361478277,-5.46688223631129))!#14200=CARTESIAN_POINT('Ctrl Pts',(-1.7349189009115,-6.57644476569708,-5.46298846591624))!#14201=CARTESIAN_POINT('Ctrl Pts',(-1.74776303585706,-6.60719214983219, -5.45753726663166))!#14202=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.62405308830549,-5.45604276115295))!#14203=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.64000000000001,-5.45604276115295))!#14204=CARTESIAN_POINT('',(-1.626,-6.51500000000001,-5.49457153173227))!#14205=CARTESIAN_POINT('',(-1.626,-6.51500000000001,-6.00000000000001))!#14206=CARTESIAN_POINT('',(-1.751,-6.64000000000001,-5.45713892855455))!#14207=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.64000000000001,-5.45713892855455))!#14208=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.62405424486322,-5.45713892855455))!#14209=CARTESIAN_POINT('Ctrl Pts',(-1.74776348677131,-6.6071937938675,-5.45862962269191))!#14210=CARTESIAN_POINT('Ctrl Pts',(-1.7349197087576,-6.57644613504196,-5.46406785792206))!#14211=CARTESIAN_POINT('Ctrl Pts',(-1.72532099481559,-6.56254429951896, -5.46795269542033))!#14212=CARTESIAN_POINT('Ctrl Pts',(-1.7033438408359,-6.54056714553927,-5.47579557744795))!#14213=CARTESIAN_POINT('Ctrl Pts',(-1.68940170325584,-6.53099564766418, -5.4803012368053))!#14214=CARTESIAN_POINT('Ctrl Pts',(-1.65868723707186,-6.51820808818345, -5.4884208361557))!#14215=CARTESIAN_POINT('Ctrl Pts',(-1.64190220963009,-6.51500000000001, -5.49199925110392))!#14216=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.51500000000001,-5.49457153173227))!#14217=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.51500000000001,-5.49457153173227))!#14218=CARTESIAN_POINT('Ctrl Pts',(-1.61043903447422,-6.51500000000001, -5.49708861401904))!#14219=CARTESIAN_POINT('Ctrl Pts',(-1.59372524841721,-6.51809148886614, -5.4989610332538))!#14220=CARTESIAN_POINT('Ctrl Pts',(-1.5628824754346,-6.53082343231816,-5.50095388413603))!#14221=CARTESIAN_POINT('Ctrl Pts',(-1.54875715632673,-6.54046614837664, -5.50111640881283))!#14222=CARTESIAN_POINT('Ctrl Pts',(-1.52651009747746,-6.56271320722591, -5.50074386943799))!#14223=CARTESIAN_POINT('Ctrl Pts',(-1.51689372764431,-6.57676603027403, -5.50019466628581))!#14224=CARTESIAN_POINT('Ctrl Pts',(-1.50413843469961,-6.60755817357842, -5.49928898341458))!#14225=CARTESIAN_POINT('Ctrl Pts',(-1.501,-6.62429892995058,-5.498974949271))!#14226=CARTESIAN_POINT('Ctrl Pts',(-1.501,-6.65573068653658,-5.498974949271))!#14227=CARTESIAN_POINT('Ctrl Pts',(-1.50415018459567,-6.67250095675132, -5.49929025232634))!#14228=CARTESIAN_POINT('Ctrl Pts',(-1.51694969301319,-6.7033381452883,-5.50019820315723))!#14229=CARTESIAN_POINT('Ctrl Pts',(-1.52659852675007,-6.7174065104285,-5.50074811725687))!#14230=CARTESIAN_POINT('Ctrl Pts',(-1.54887705179235,-6.73962209922952, -5.50111561773891))!#14231=CARTESIAN_POINT('Ctrl Pts',(-1.56298666828029,-6.74923225738988, -5.50094966699859))!#14232=CARTESIAN_POINT('Ctrl Pts',(-1.59378412334885,-6.76192006873527, -5.49895470070451))!#14233=CARTESIAN_POINT('Ctrl Pts',(-1.61046830106388,-6.76500000000001, -5.49708387996739))!#14234=CARTESIAN_POINT('Ctrl Pts',(-1.64204521093482,-6.76500000000001, -5.49197611975963))!#14235=CARTESIAN_POINT('Ctrl Pts',(-1.65896926961149,-6.7617348109923,-5.48835935918528))!#14236=CARTESIAN_POINT('Ctrl Pts',(-1.68989508525128,-6.74873708915396, -5.48015739503271))!#14237=CARTESIAN_POINT('Ctrl Pts',(-1.70390992596406,-6.7390126072944,-5.47560881385898))!#14238=CARTESIAN_POINT('Ctrl Pts',(-1.72574222454522,-6.71688482496339, -5.46778671974406))!#14239=CARTESIAN_POINT('Ctrl Pts',(-1.73518821471239,-6.70305499458936, -5.4639567704267))!#14240=CARTESIAN_POINT('Ctrl Pts',(-1.74782139211806,-6.67251990563057, -5.45860243491805))!#14241=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.65580045484179,-5.45713892855455))!#14242=CARTESIAN_POINT('Ctrl Pts',(-1.751,-6.64000000000001,-5.45713892855455))!#14243=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.16989209785192))!#14244=CARTESIAN_POINT('',(-1.49341747852752,-6.50741747852753,-6.18800000000001))!#14245=CARTESIAN_POINT('',(-1.626,-6.37483495705505,-6.15178419570384))!#14246=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852752,-6.50741747852753, -6.18800000000001))!#14247=CARTESIAN_POINT('Ctrl Pts',(-1.54833495705505,-6.45250000000001, -6.18800000000001))!#14248=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.37483495705505,-6.15178419570384))!#14249=CARTESIAN_POINT('',(-1.75858252147248,-6.50741747852753,-6.18800000000001))!#14250=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.18800000000001))!#14251=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.37483495705505,-6.15178419570384))!#14252=CARTESIAN_POINT('Ctrl Pts',(-1.70366504294496,-6.45250000000001, -6.18800000000001))!#14253=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147248,-6.50741747852753, -6.18800000000001))!#14254=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.16989209785192))!#14255=CARTESIAN_POINT('',(-1.89116504294496,-6.64000000000001,-6.15178419570384))!#14256=CARTESIAN_POINT('',(-1.75858252147248,-6.77258252147249,-6.18800000000001))!#14257=CARTESIAN_POINT('Ctrl Pts',(-1.89116504294496,-6.64000000000001, -6.15178419570384))!#14258=CARTESIAN_POINT('Ctrl Pts',(-1.8135,-6.71766504294497,-6.18800000000001))!#14259=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147248,-6.77258252147249, -6.18800000000001))!#14260=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147248,-6.50741747852753, -6.18800000000001))!#14261=CARTESIAN_POINT('Ctrl Pts',(-1.8135,-6.56233495705505,-6.18800000000001))!#14262=CARTESIAN_POINT('Ctrl Pts',(-1.89116504294496,-6.64000000000001, -6.15178419570384))!#14263=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.18800000000001))!#14264=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.16989209785192))!#14265=CARTESIAN_POINT('',(-1.49341747852752,-6.77258252147249,-6.18800000000001))!#14266=CARTESIAN_POINT('',(-1.36083495705505,-6.64000000000001,-6.15178419570384))!#14267=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852752,-6.77258252147249, -6.18800000000001))!#14268=CARTESIAN_POINT('Ctrl Pts',(-1.4385,-6.71766504294497,-6.18800000000001))!#14269=CARTESIAN_POINT('Ctrl Pts',(-1.36083495705505,-6.64000000000001, -6.15178419570384))!#14270=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.18800000000001))!#14271=CARTESIAN_POINT('Ctrl Pts',(-1.36083495705505,-6.64000000000001, -6.15178419570384))!#14272=CARTESIAN_POINT('Ctrl Pts',(-1.4385,-6.56233495705505,-6.18800000000001))!#14273=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852752,-6.50741747852753, -6.18800000000001))!#14274=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.16989209785192))!#14275=CARTESIAN_POINT('',(-1.626,-6.90516504294497,-6.15178419570384))!#14276=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147248,-6.77258252147249, -6.18800000000001))!#14277=CARTESIAN_POINT('Ctrl Pts',(-1.70366504294496,-6.82750000000001, -6.18800000000001))!#14278=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.90516504294497,-6.15178419570384))!#14279=CARTESIAN_POINT('Origin',(-1.626,-6.64000000000001,-6.18800000000001))!#14280=CARTESIAN_POINT('Ctrl Pts',(-1.626,-6.90516504294497,-6.15178419570384))!#14281=CARTESIAN_POINT('Ctrl Pts',(-1.54833495705504,-6.82750000000001, -6.18800000000001))!#14282=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852752,-6.77258252147249, -6.18800000000001))!#14283=CARTESIAN_POINT('Origin',(-1.626,-6.90516504294497,-6.00000000000001))!#14284=CARTESIAN_POINT('',(-1.36083495705505,-6.64000000000001,-6.00000000000001))!#14285=CARTESIAN_POINT('',(-1.36083495705505,-6.64000000000001,-6.00000000000001))!#14286=CARTESIAN_POINT('',(-1.626,-6.90516504294497,-6.00000000000001))!#14287=CARTESIAN_POINT('',(-6.29095873926376,-11.5701237822087,-6.00000000000001))!#14288=CARTESIAN_POINT('',(-1.626,-6.90516504294497,-6.00000000000001))!#14289=CARTESIAN_POINT('Origin',(-1.36083495705505,-6.64000000000001,-6.00000000000001))!#14290=CARTESIAN_POINT('',(-1.626,-6.37483495705505,-6.00000000000001))!#14291=CARTESIAN_POINT('',(-1.626,-6.37483495705505,-6.00000000000001))!#14292=CARTESIAN_POINT('',(-6.15587621779129,-1.84495873926377,-6.))!#14293=CARTESIAN_POINT('Origin',(-1.89116504294496,-6.64000000000001,-6.00000000000001))!#14294=CARTESIAN_POINT('',(-1.89116504294496,-6.64000000000001,-6.00000000000001))!#14295=CARTESIAN_POINT('',(-6.55362378220872,-1.97754126073624,-6.))!#14296=CARTESIAN_POINT('',(-1.89116504294496,-6.64000000000001,-6.00000000000001))!#14297=CARTESIAN_POINT('Origin',(-1.626,-6.37483495705505,-6.00000000000001))!#14298=CARTESIAN_POINT('',(-6.42354126073623,-11.1723762177913,-6.00000000000001))!#14299=CARTESIAN_POINT('Origin',(-1.53225,-6.54625000000001,-6.18800000000001))!#14300=CARTESIAN_POINT('Origin',(-20.546,-6.645,-6.00000000000002))!#14301=CARTESIAN_POINT('',(-0.626000000000006,-7.02000000000001,-6.))!#14302=CARTESIAN_POINT('',(-0.626000000000005,-6.27000000000001,-6.))!#14303=CARTESIAN_POINT('',(-0.626000000000005,-6.64500000000001,-6.))!#14304=CARTESIAN_POINT('',(-20.546,-7.02,-6.00000000000002))!#14305=CARTESIAN_POINT('',(-0.626000000000006,-7.02000000000001,-6.))!#14306=CARTESIAN_POINT('',(-20.546,-6.27,-6.00000000000002))!#14307=CARTESIAN_POINT('',(-20.546,-6.645,-6.00000000000002))!#14308=CARTESIAN_POINT('',(-0.626000000000005,-6.27000000000001,-6.))!#14309=CARTESIAN_POINT('',(-19.546,-6.90516504294496,-6.00000000000001))!#14310=CARTESIAN_POINT('',(-19.2808349570551,-6.64000000000001,-6.00000000000001))!#14311=CARTESIAN_POINT('',(-19.7309587392638,-7.09012378220872,-6.00000000000002))!#14312=CARTESIAN_POINT('',(-19.546,-6.37483495705505,-6.00000000000001))!#14313=CARTESIAN_POINT('',(-19.5958762177913,-6.32495873926377,-6.00000000000002))!#14314=CARTESIAN_POINT('',(-19.811165042945,-6.64000000000001,-6.00000000000001))!#14315=CARTESIAN_POINT('',(-19.8635412607362,-6.69237621779129,-6.00000000000002))!#14316=CARTESIAN_POINT('',(-19.9936237822087,-6.45754126073624,-6.00000000000002))!#14317=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.00000000000001))!#14318=CARTESIAN_POINT('',(-19.671,-6.64000000000001,-5.48412291827594))!#14319=CARTESIAN_POINT('',(-19.546,-6.51500000000001,-5.50000000000001))!#14320=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.64000000000001,-5.48412291827594))!#14321=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.65576867633481,-5.48412291827594))!#14322=CARTESIAN_POINT('Ctrl Pts',(-19.6678348817613,-6.67254021350132, -5.48497357933212))!#14323=CARTESIAN_POINT('Ctrl Pts',(-19.6550579981395,-6.70331633562881, -5.48800559722368))!#14324=CARTESIAN_POINT('Ctrl Pts',(-19.6454496384782,-6.71732705681841, -5.49013882564544))!#14325=CARTESIAN_POINT('Ctrl Pts',(-19.6233286033839,-6.7394480919127,-5.49411188083469))!#14326=CARTESIAN_POINT('Ctrl Pts',(-19.6093172380753,-6.74905765741342, -5.49621562972543))!#14327=CARTESIAN_POINT('Ctrl Pts',(-19.5785388319771,-6.76183542137876, -5.49917957512246))!#14328=CARTESIAN_POINT('Ctrl Pts',(-19.5617664715929,-6.76500000000001, -5.50000000000001))!#14329=CARTESIAN_POINT('Ctrl Pts',(-19.5302335284071,-6.76500000000001, -5.50000000000001))!#14330=CARTESIAN_POINT('Ctrl Pts',(-19.5134611680229,-6.76183542137876, -5.49917957512246))!#14331=CARTESIAN_POINT('Ctrl Pts',(-19.4826827619248,-6.74905765741342, -5.49621562972543))!#14332=CARTESIAN_POINT('Ctrl Pts',(-19.4686713966161,-6.7394480919127,-5.49411188083469))!#14333=CARTESIAN_POINT('Ctrl Pts',(-19.4465503615218,-6.71732705681842, -5.49013882564544))!#14334=CARTESIAN_POINT('Ctrl Pts',(-19.4369420018605,-6.70331633562881, -5.48800559722368))!#14335=CARTESIAN_POINT('Ctrl Pts',(-19.4241651182388,-6.67254021350132, -5.48497357933212))!#14336=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.65576867633481,-5.48412291827594))!#14337=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.62423132366521,-5.48412291827594))!#14338=CARTESIAN_POINT('Ctrl Pts',(-19.4241651182388,-6.60745978649869, -5.48497357933212))!#14339=CARTESIAN_POINT('Ctrl Pts',(-19.4369420018605,-6.5766836643712,-5.48800559722368))!#14340=CARTESIAN_POINT('Ctrl Pts',(-19.4465503615218,-6.5626729431816,-5.49013882564544))!#14341=CARTESIAN_POINT('Ctrl Pts',(-19.4686713966161,-6.54055190808731, -5.49411188083469))!#14342=CARTESIAN_POINT('Ctrl Pts',(-19.4826827619248,-6.53094234258659, -5.49621562972543))!#14343=CARTESIAN_POINT('Ctrl Pts',(-19.5134611680229,-6.51816457862125, -5.49917957512246))!#14344=CARTESIAN_POINT('Ctrl Pts',(-19.5302335284071,-6.51500000000001, -5.50000000000001))!#14345=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.51500000000001,-5.50000000000001))!#14346=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.51500000000001,-5.50000000000001))!#14347=CARTESIAN_POINT('Ctrl Pts',(-19.5617664715929,-6.51500000000001, -5.50000000000001))!#14348=CARTESIAN_POINT('Ctrl Pts',(-19.5785388319771,-6.51816457862125, -5.49917957512246))!#14349=CARTESIAN_POINT('Ctrl Pts',(-19.6093172380753,-6.53094234258659, -5.49621562972543))!#14350=CARTESIAN_POINT('Ctrl Pts',(-19.6233286033839,-6.54055190808731, -5.49411188083469))!#14351=CARTESIAN_POINT('Ctrl Pts',(-19.6454496384782,-6.5626729431816,-5.49013882564544))!#14352=CARTESIAN_POINT('Ctrl Pts',(-19.6550579981395,-6.5766836643712,-5.48800559722368))!#14353=CARTESIAN_POINT('Ctrl Pts',(-19.6678348817613,-6.60745978649869, -5.48497357933212))!#14354=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.62423132366521,-5.48412291827594))!#14355=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.64000000000001,-5.48412291827594))!#14356=CARTESIAN_POINT('',(-19.546,-6.51500000000001,-5.50100000000002))!#14357=CARTESIAN_POINT('',(-19.546,-6.51500000000001,-6.00000000000001))!#14358=CARTESIAN_POINT('',(-19.671,-6.64000000000001,-5.48515564512847))!#14359=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.64000000000001,-5.48515564512847))!#14360=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.62423163014526,-5.48515564512847))!#14361=CARTESIAN_POINT('Ctrl Pts',(-19.6678349992438,-6.60746021200533, -5.48600445863326))!#14362=CARTESIAN_POINT('Ctrl Pts',(-19.6550581951148,-6.5766839963131,-5.48903007039584))!#14363=CARTESIAN_POINT('Ctrl Pts',(-19.6454497848502,-6.56267308955354, -5.49115886064305))!#14364=CARTESIAN_POINT('Ctrl Pts',(-19.6233284443479,-6.54055174905125, -5.49512378529781))!#14365=CARTESIAN_POINT('Ctrl Pts',(-19.6093168986046,-6.5309421427529,-5.49722327626598))!#14366=CARTESIAN_POINT('Ctrl Pts',(-19.5785384176526,-6.51816446551567, -5.50018123462483))!#14367=CARTESIAN_POINT('Ctrl Pts',(-19.5617661832379,-6.51500000000001, -5.50100000000002))!#14368=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.51500000000001,-5.50100000000002))!#14369=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.51500000000001,-5.50100000000002))!#14370=CARTESIAN_POINT('Ctrl Pts',(-19.5302338167621,-6.51500000000001, -5.50100000000002))!#14371=CARTESIAN_POINT('Ctrl Pts',(-19.5134615823474,-6.51816446551567, -5.50018123462483))!#14372=CARTESIAN_POINT('Ctrl Pts',(-19.4826831013955,-6.5309421427529,-5.49722327626598))!#14373=CARTESIAN_POINT('Ctrl Pts',(-19.4686715556521,-6.54055174905125, -5.49512378529781))!#14374=CARTESIAN_POINT('Ctrl Pts',(-19.4465502151498,-6.56267308955354, -5.49115886064305))!#14375=CARTESIAN_POINT('Ctrl Pts',(-19.4369418048853,-6.5766839963131,-5.48903007039584))!#14376=CARTESIAN_POINT('Ctrl Pts',(-19.4241650007562,-6.60746021200533, -5.48600445863326))!#14377=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.62423163014525,-5.48515564512847))!#14378=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.65576836985476,-5.48515564512847))!#14379=CARTESIAN_POINT('Ctrl Pts',(-19.4241650007562,-6.67253978799469, -5.48600445863326))!#14380=CARTESIAN_POINT('Ctrl Pts',(-19.4369418048853,-6.70331600368692, -5.48903007039584))!#14381=CARTESIAN_POINT('Ctrl Pts',(-19.4465502151498,-6.71732691044647, -5.49115886064305))!#14382=CARTESIAN_POINT('Ctrl Pts',(-19.4686715556521,-6.73944825094876, -5.49512378529781))!#14383=CARTESIAN_POINT('Ctrl Pts',(-19.4826831013955,-6.74905785724711, -5.49722327626598))!#14384=CARTESIAN_POINT('Ctrl Pts',(-19.5134615823474,-6.76183553448434, -5.50018123462483))!#14385=CARTESIAN_POINT('Ctrl Pts',(-19.5302338167621,-6.76500000000001, -5.50100000000002))!#14386=CARTESIAN_POINT('Ctrl Pts',(-19.5617661832379,-6.76500000000001, -5.50100000000002))!#14387=CARTESIAN_POINT('Ctrl Pts',(-19.5785384176526,-6.76183553448434, -5.50018123462483))!#14388=CARTESIAN_POINT('Ctrl Pts',(-19.6093168986046,-6.74905785724711, -5.49722327626598))!#14389=CARTESIAN_POINT('Ctrl Pts',(-19.6233284443479,-6.73944825094876, -5.49512378529781))!#14390=CARTESIAN_POINT('Ctrl Pts',(-19.6454497848502,-6.71732691044647, -5.49115886064305))!#14391=CARTESIAN_POINT('Ctrl Pts',(-19.6550581951148,-6.70331600368692, -5.48903007039584))!#14392=CARTESIAN_POINT('Ctrl Pts',(-19.6678349992438,-6.67253978799469, -5.48600445863326))!#14393=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.65576836985476,-5.48515564512847))!#14394=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.64000000000001,-5.48515564512847))!#14395=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.16989209785193))!#14396=CARTESIAN_POINT('',(-19.4134174785275,-6.50741747852753,-6.18800000000001))!#14397=CARTESIAN_POINT('',(-19.546,-6.37483495705505,-6.15178419570384))!#14398=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.50741747852753, -6.18800000000001))!#14399=CARTESIAN_POINT('Ctrl Pts',(-19.4683349570551,-6.45250000000001, -6.18800000000001))!#14400=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.37483495705505,-6.15178419570384))!#14401=CARTESIAN_POINT('',(-19.6785825214725,-6.50741747852753,-6.18800000000001))!#14402=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.18800000000001))!#14403=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.37483495705505,-6.15178419570384))!#14404=CARTESIAN_POINT('Ctrl Pts',(-19.623665042945,-6.45250000000001,-6.18800000000001))!#14405=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.50741747852753, -6.18800000000001))!#14406=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.16989209785193))!#14407=CARTESIAN_POINT('',(-19.811165042945,-6.64000000000001,-6.15178419570384))!#14408=CARTESIAN_POINT('',(-19.6785825214725,-6.77258252147248,-6.18800000000001))!#14409=CARTESIAN_POINT('Ctrl Pts',(-19.811165042945,-6.64000000000001,-6.15178419570384))!#14410=CARTESIAN_POINT('Ctrl Pts',(-19.7335,-6.71766504294496,-6.18800000000001))!#14411=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.77258252147248, -6.18800000000001))!#14412=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.50741747852753, -6.18800000000001))!#14413=CARTESIAN_POINT('Ctrl Pts',(-19.7335,-6.56233495705505,-6.18800000000001))!#14414=CARTESIAN_POINT('Ctrl Pts',(-19.811165042945,-6.64000000000001,-6.15178419570384))!#14415=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.18800000000001))!#14416=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.16989209785193))!#14417=CARTESIAN_POINT('',(-19.4134174785275,-6.77258252147248,-6.18800000000001))!#14418=CARTESIAN_POINT('',(-19.2808349570551,-6.64000000000001,-6.15178419570384))!#14419=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.77258252147248, -6.18800000000001))!#14420=CARTESIAN_POINT('Ctrl Pts',(-19.3585,-6.71766504294496,-6.18800000000001))!#14421=CARTESIAN_POINT('Ctrl Pts',(-19.2808349570551,-6.64000000000001, -6.15178419570384))!#14422=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.18800000000001))!#14423=CARTESIAN_POINT('Ctrl Pts',(-19.2808349570551,-6.64000000000001, -6.15178419570384))!#14424=CARTESIAN_POINT('Ctrl Pts',(-19.3585,-6.56233495705505,-6.18800000000001))!#14425=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.50741747852753, -6.18800000000001))!#14426=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.16989209785193))!#14427=CARTESIAN_POINT('',(-19.546,-6.90516504294496,-6.15178419570384))!#14428=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.77258252147248, -6.18800000000001))!#14429=CARTESIAN_POINT('Ctrl Pts',(-19.623665042945,-6.82750000000001,-6.18800000000001))!#14430=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.90516504294496,-6.15178419570384))!#14431=CARTESIAN_POINT('Origin',(-19.546,-6.64000000000001,-6.18800000000001))!#14432=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.90516504294496,-6.15178419570384))!#14433=CARTESIAN_POINT('Ctrl Pts',(-19.4683349570551,-6.82750000000001, -6.18800000000001))!#14434=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.77258252147248, -6.18800000000001))!#14435=CARTESIAN_POINT('Origin',(-19.546,-6.90516504294496,-6.00000000000001))!#14436=CARTESIAN_POINT('',(-19.2808349570551,-6.64000000000001,-6.00000000000001))!#14437=CARTESIAN_POINT('',(-19.546,-6.90516504294496,-6.00000000000001))!#14438=CARTESIAN_POINT('Origin',(-19.2808349570551,-6.64000000000001,-6.00000000000001))!#14439=CARTESIAN_POINT('',(-19.546,-6.37483495705505,-6.00000000000001))!#14440=CARTESIAN_POINT('Origin',(-19.811165042945,-6.64000000000001,-6.00000000000001))!#14441=CARTESIAN_POINT('',(-19.811165042945,-6.64000000000001,-6.00000000000001))!#14442=CARTESIAN_POINT('Origin',(-19.546,-6.37483495705505,-6.00000000000001))!#14443=CARTESIAN_POINT('Origin',(-19.45225,-6.54625000000001,-6.18800000000001))!#14444=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,-5.00000000000001))!#14445=CARTESIAN_POINT('',(-19.046,-9.27000000000001,-5.00000000000001))!#14446=CARTESIAN_POINT('',(-20.046,-9.27000000000001,-5.00000000000001))!#14447=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,-5.00000000000001))!#14448=CARTESIAN_POINT('',(-19.546,-9.77000000000001,-5.00000000000001))!#14449=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,-5.00000000000001))!#14450=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,-5.00000000000001))!#14451=CARTESIAN_POINT('Origin',(-19.546,-6.28500000000001,-5.00000000000001))!#14452=CARTESIAN_POINT('',(-19.046,-6.30000000000001,-5.00000000000001))!#14453=CARTESIAN_POINT('',(-20.046,-6.30000000000001,-5.00000000000001))!#14454=CARTESIAN_POINT('Origin',(-19.546,-6.30000000000001,-5.00000000000001))!#14455=CARTESIAN_POINT('Origin',(-19.546,-6.30000000000001,-5.00000000000001))!#14456=CARTESIAN_POINT('',(-20.016,-6.27,-5.00000000000001))!#14457=CARTESIAN_POINT('',(-20.031,-6.28500000000001,-5.00000000000001))!#14458=CARTESIAN_POINT('',(-19.076,-6.27000000000001,-5.00000000000001))!#14459=CARTESIAN_POINT('Origin',(-19.546,-6.27,-5.00000000000001))!#14460=CARTESIAN_POINT('Origin',(-19.546,-6.27,-5.00000000000001))!#14461=CARTESIAN_POINT('Origin',(-19.546,-7.78500000000001,-5.00000000000001))!#14462=CARTESIAN_POINT('',(-20.046,-7.78500000000001,-5.00000000000001))!#14463=CARTESIAN_POINT('Origin',(-10.586,-6.27000000000001,-5.00000000000001))!#14464=CARTESIAN_POINT('',(-20.416,-6.27,-5.075))!#14465=CARTESIAN_POINT('',(-20.416,-6.27,-4.00000000000002))!#14466=CARTESIAN_POINT('',(-20.416,-6.27,-3.59687174594942))!#14467=CARTESIAN_POINT('',(-19.916,-6.27,-5.575))!#14468=CARTESIAN_POINT('Origin',(-19.916,-6.27,-5.075))!#14469=CARTESIAN_POINT('',(-9.666,-6.27,-5.575))!#14470=CARTESIAN_POINT('',(-9.166,-6.27,-5.575))!#14471=CARTESIAN_POINT('',(-9.166,-6.27,-5.075))!#14472=CARTESIAN_POINT('Origin',(-9.666,-6.27,-5.075))!#14473=CARTESIAN_POINT('',(-9.166,-6.27000000000001,-4.00000000000001))!#14474=CARTESIAN_POINT('',(-9.166,-6.27,-3.59687174594942))!#14475=CARTESIAN_POINT('',(-0.626000000000007,-6.27000000000002,-4.))!#14476=CARTESIAN_POINT('',(-20.546,-6.27,-4.00000000000002))!#14477=CARTESIAN_POINT('',(-0.626000000000007,-6.27000000000002,-4.))!#14478=CARTESIAN_POINT('',(-20.546,-6.27,-4.00000000000002))!#14479=CARTESIAN_POINT('',(-20.546,-6.27,-6.00000000000002))!#14480=CARTESIAN_POINT('',(-20.546,-6.27,-4.00000000000002))!#14481=CARTESIAN_POINT('',(-1.54600000000001,-6.27000000000001,-4.499))!#14482=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.27000000000001,-5.))!#14483=CARTESIAN_POINT('Origin',(-19.546,-6.645,-5.00000000000002))!#14484=CARTESIAN_POINT('',(-19.546,-6.27,-4.49900000000002))!#14485=CARTESIAN_POINT('Origin',(-19.546,-6.26999999999999,-5.00000000000002))!#14486=CARTESIAN_POINT('',(-19.546,-7.02,-4.49900000000002))!#14487=CARTESIAN_POINT('',(-19.546,-6.645,-4.49900000000002))!#14488=CARTESIAN_POINT('Origin',(-19.546,-7.02,-5.00000000000002))!#14489=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.64500000000001,-5.))!#14490=CARTESIAN_POINT('',(-1.54600000000001,-7.02000000000001,-4.499))!#14491=CARTESIAN_POINT('',(-1.54600000000001,-6.64500000000001,-4.499))!#14492=CARTESIAN_POINT('Origin',(-1.54600000000001,-7.02000000000001,-5.))!#14493=CARTESIAN_POINT('Origin',(-18.046,-6.52,-5.00000000000002))!#14494=CARTESIAN_POINT('',(-18.046,-7.02,-5.20850000000002))!#14495=CARTESIAN_POINT('',(-18.046,-7.02,-4.79150000000002))!#14496=CARTESIAN_POINT('Origin',(-18.046,-7.02,-5.00000000000002))!#14497=CARTESIAN_POINT('Origin',(-18.046,-7.02,-5.00000000000002))!#14498=CARTESIAN_POINT('',(-18.046,-6.52,-4.79150000000002))!#14499=CARTESIAN_POINT('Origin',(-9.796,-6.52000000000001,-5.00000000000001))!#14500=CARTESIAN_POINT('',(-9.796,-7.02000000000001,-5.20850000000001))!#14501=CARTESIAN_POINT('',(-9.796,-7.02000000000001,-4.79150000000001))!#14502=CARTESIAN_POINT('Origin',(-9.796,-7.02000000000001,-5.00000000000001))!#14503=CARTESIAN_POINT('Origin',(-9.796,-7.02000000000001,-5.00000000000001))!#14504=CARTESIAN_POINT('',(-9.796,-6.79070356737613,-4.79150000000001))!#14505=CARTESIAN_POINT('',(-9.796,-6.52000000000001,-4.79150000000001))!#14506=CARTESIAN_POINT('Origin',(-9.796,-6.79070356737613,-5.00000000000001))!#14507=CARTESIAN_POINT('Origin',(-20.546,-6.645,-4.00000000000002))!#14508=CARTESIAN_POINT('',(-20.546,-7.02,-4.00000000000002))!#14509=CARTESIAN_POINT('',(-20.546,-7.02,-6.00000000000002))!#14510=CARTESIAN_POINT('',(-20.546,-6.645,-4.00000000000002))!#14511=CARTESIAN_POINT('Origin',(-0.626000000000008,-6.64500000000002,-4.))!#14512=CARTESIAN_POINT('',(-0.626000000000008,-7.02000000000002,-4.))!#14513=CARTESIAN_POINT('',(-20.546,-7.02,-4.00000000000002))!#14514=CARTESIAN_POINT('',(-0.626000000000008,-6.64500000000002,-4.))!#14515=CARTESIAN_POINT('',(-4.896,-6.27,-4.00000000000001))!#14516=CARTESIAN_POINT('Origin',(-0.626000000000005,-6.64500000000001,-6.))!#14517=CARTESIAN_POINT('',(-0.626000000000008,-7.02000000000002,-4.))!#14518=CARTESIAN_POINT('Origin',(-10.586,-7.02000000000001,-5.00000000000001))!#14519=CARTESIAN_POINT('Origin',(-9.166,-6.27,-5.575))!#14520=CARTESIAN_POINT('',(-9.166,-6.27,-3.59687174594942))!#14521=CARTESIAN_POINT('',(-9.166,-6.27,-3.59687174594942))!#14522=CARTESIAN_POINT('',(-20.416,-6.27,-3.59687174594942))!#14523=CARTESIAN_POINT('',(-9.166,-6.27,-3.59687174594942))!#14524=CARTESIAN_POINT('',(-20.416,-6.27,-3.59687174594942))!#14525=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.00000000000001))!#14526=CARTESIAN_POINT('',(-1.50100000000001,-6.65000000000001,5.49797088268292))!#14527=CARTESIAN_POINT('',(-1.62600000000001,-6.77500000000001,5.49355850717013))!#14528=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.65000000000001, 5.49797088268292))!#14529=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.66570109334811, 5.49797088268292))!#14530=CARTESIAN_POINT('Ctrl Pts',(-1.50413844163793,-6.68244184687483, 5.49828555099388))!#14531=CARTESIAN_POINT('Ctrl Pts',(-1.51689372886189,-6.71323396896164, 5.49919305387746))!#14532=CARTESIAN_POINT('Ctrl Pts',(-1.52651008412056,-6.7272867794172,5.49974335682844))!#14533=CARTESIAN_POINT('Ctrl Pts',(-1.54875734799195,-6.74953404328859, 5.50011664493166))!#14534=CARTESIAN_POINT('Ctrl Pts',(-1.56288294811742,-6.75917685095854, 5.49995378279941))!#14535=CARTESIAN_POINT('Ctrl Pts',(-1.59372590435,-6.77190869374823,5.49795688263257))!#14536=CARTESIAN_POINT('Ctrl Pts',(-1.61043957766647,-6.77500000000001, 5.49608066770146))!#14537=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.77500000000001, 5.49355850717013))!#14538=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.77500000000001, 5.49355850717013))!#14539=CARTESIAN_POINT('Ctrl Pts',(-1.64190311702953,-6.77500000000001, 5.49098079987935))!#14540=CARTESIAN_POINT('Ctrl Pts',(-1.65868836310547,-6.77179159267407, 5.48739483460029))!#14541=CARTESIAN_POINT('Ctrl Pts',(-1.6894024942404,-6.75900387334411,5.4792578811068))!#14542=CARTESIAN_POINT('Ctrl Pts',(-1.70334412486988,-6.74943257042678, 5.47474246199207))!#14543=CARTESIAN_POINT('Ctrl Pts',(-1.72532031007941,-6.72745638521725, 5.46688223631129))!#14544=CARTESIAN_POINT('Ctrl Pts',(-1.7349189009115,-6.71355523430294,5.46298846591624))!#14545=CARTESIAN_POINT('Ctrl Pts',(-1.74776303585707,-6.68280785016783, 5.45753726663165))!#14546=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.66594691169453, 5.45604276115295))!#14547=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.63419902066699, 5.45604276115295))!#14548=CARTESIAN_POINT('Ctrl Pts',(-1.74782119402753,-6.61747967585699, 5.45750989411303))!#14549=CARTESIAN_POINT('Ctrl Pts',(-1.73518854596627,-6.58694574578661, 5.46287664600478))!#14550=CARTESIAN_POINT('Ctrl Pts',(-1.72574331317088,-6.57311689468019, 5.46671517836499))!#14551=CARTESIAN_POINT('Ctrl Pts',(-1.70391257632984,-6.55098944504866, 5.4745545007173))!#14552=CARTESIAN_POINT('Ctrl Pts',(-1.68989794342896,-6.54126452074694, 5.4791131215226))!#14553=CARTESIAN_POINT('Ctrl Pts',(-1.65897158722251,-6.52826575449217, 5.48733296813938))!#14554=CARTESIAN_POINT('Ctrl Pts',(-1.64204673044482,-6.52500000000001, 5.49095752184228))!#14555=CARTESIAN_POINT('Ctrl Pts',(-1.61046896068221,-6.52500000000001, 5.49607590506192))!#14556=CARTESIAN_POINT('Ctrl Pts',(-1.59378501509512,-6.52807970285943, 5.49795051178748))!#14557=CARTESIAN_POINT('Ctrl Pts',(-1.56298755991598,-6.54076723631296, 5.49994954008468))!#14558=CARTESIAN_POINT('Ctrl Pts',(-1.54887772610468,-6.5503773547775,5.50011584897593))!#14559=CARTESIAN_POINT('Ctrl Pts',(-1.52659886918902,-6.57259302171462, 5.4997476302557))!#14560=CARTESIAN_POINT('Ctrl Pts',(-1.51694991947845,-6.58666143665872, 5.49919661210188))!#14561=CARTESIAN_POINT('Ctrl Pts',(-1.50415023887717,-6.61749878491611, 5.49828682758765))!#14562=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.63426917091287, 5.49797088268292))!#14563=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.65000000000001, 5.49797088268292))!#14564=CARTESIAN_POINT('',(-1.62600000000001,-6.77500000000001,5.49457153173228))!#14565=CARTESIAN_POINT('',(-1.62600000000001,-6.77500000000001,6.00000000000001))!#14566=CARTESIAN_POINT('',(-1.75100000000001,-6.65000000000001,5.45713892855455))!#14567=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.65000000000001, 5.45713892855456))!#14568=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.66594575513679, 5.45713892855456))!#14569=CARTESIAN_POINT('Ctrl Pts',(-1.74776348677132,-6.68280620613252, 5.45862962269191))!#14570=CARTESIAN_POINT('Ctrl Pts',(-1.73491970875761,-6.71355386495806, 5.46406785792206))!#14571=CARTESIAN_POINT('Ctrl Pts',(-1.72532099481559,-6.72745570048106, 5.46795269542033))!#14572=CARTESIAN_POINT('Ctrl Pts',(-1.70334384083591,-6.74943285446075, 5.47579557744796))!#14573=CARTESIAN_POINT('Ctrl Pts',(-1.68940170325585,-6.75900435233584, 5.4803012368053))!#14574=CARTESIAN_POINT('Ctrl Pts',(-1.65868723707187,-6.77179191181657, 5.48842083615571))!#14575=CARTESIAN_POINT('Ctrl Pts',(-1.6419022096301,-6.77500000000001,5.49199925110393))!#14576=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.77500000000001, 5.49457153173228))!#14577=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.77500000000001, 5.49457153173228))!#14578=CARTESIAN_POINT('Ctrl Pts',(-1.61043903447422,-6.77500000000001, 5.49708861401904))!#14579=CARTESIAN_POINT('Ctrl Pts',(-1.59372524841722,-6.77190851113388, 5.4989610332538))!#14580=CARTESIAN_POINT('Ctrl Pts',(-1.56288247543461,-6.75917656768186, 5.50095388413603))!#14581=CARTESIAN_POINT('Ctrl Pts',(-1.54875715632674,-6.74953385162338, 5.50111640881284))!#14582=CARTESIAN_POINT('Ctrl Pts',(-1.52651009747747,-6.72728679277411, 5.500743869438))!#14583=CARTESIAN_POINT('Ctrl Pts',(-1.51689372764432,-6.71323396972599, 5.50019466628582))!#14584=CARTESIAN_POINT('Ctrl Pts',(-1.50413843469961,-6.6824418264216,5.49928898341459))!#14585=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.66570107004944, 5.49897494927101))!#14586=CARTESIAN_POINT('Ctrl Pts',(-1.50100000000001,-6.63426931346344, 5.49897494927101))!#14587=CARTESIAN_POINT('Ctrl Pts',(-1.50415018459567,-6.6174990432487,5.49929025232635))!#14588=CARTESIAN_POINT('Ctrl Pts',(-1.5169496930132,-6.58666185471172,5.50019820315724))!#14589=CARTESIAN_POINT('Ctrl Pts',(-1.52659852675008,-6.57259348957153, 5.50074811725688))!#14590=CARTESIAN_POINT('Ctrl Pts',(-1.54887705179236,-6.5503779007705,5.50111561773892))!#14591=CARTESIAN_POINT('Ctrl Pts',(-1.5629866682803,-6.54076774261014,5.5009496669986))!#14592=CARTESIAN_POINT('Ctrl Pts',(-1.59378412334886,-6.52807993126475, 5.49895470070452))!#14593=CARTESIAN_POINT('Ctrl Pts',(-1.61046830106389,-6.52500000000001, 5.4970838799674))!#14594=CARTESIAN_POINT('Ctrl Pts',(-1.64204521093482,-6.52500000000001, 5.49197611975963))!#14595=CARTESIAN_POINT('Ctrl Pts',(-1.6589692696115,-6.52826518900772,5.48835935918528))!#14596=CARTESIAN_POINT('Ctrl Pts',(-1.68989508525128,-6.54126291084605, 5.48015739503272))!#14597=CARTESIAN_POINT('Ctrl Pts',(-1.70390992596407,-6.55098739270562, 5.47560881385898))!#14598=CARTESIAN_POINT('Ctrl Pts',(-1.72574222454523,-6.57311517503663, 5.46778671974406))!#14599=CARTESIAN_POINT('Ctrl Pts',(-1.7351882147124,-6.58694500541066,5.4639567704267))!#14600=CARTESIAN_POINT('Ctrl Pts',(-1.74782139211807,-6.61748009436945, 5.45860243491805))!#14601=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.63419954515823, 5.45713892855456))!#14602=CARTESIAN_POINT('Ctrl Pts',(-1.75100000000001,-6.65000000000001, 5.45713892855456))!#14603=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.16989209785192))!#14604=CARTESIAN_POINT('',(-1.49341747852753,-6.78258252147249,6.18800000000001))!#14605=CARTESIAN_POINT('',(-1.62600000000001,-6.91516504294496,6.15178419570384))!#14606=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852753,-6.78258252147249, 6.18800000000001))!#14607=CARTESIAN_POINT('Ctrl Pts',(-1.54833495705505,-6.83750000000001, 6.18800000000001))!#14608=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.91516504294496, 6.15178419570384))!#14609=CARTESIAN_POINT('',(-1.75858252147249,-6.78258252147249,6.18800000000001))!#14610=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.18800000000001))!#14611=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.91516504294496, 6.15178419570384))!#14612=CARTESIAN_POINT('Ctrl Pts',(-1.70366504294496,-6.83750000000001, 6.18800000000001))!#14613=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147249,-6.78258252147249, 6.18800000000001))!#14614=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.16989209785192))!#14615=CARTESIAN_POINT('',(-1.89116504294496,-6.65000000000001,6.15178419570384))!#14616=CARTESIAN_POINT('',(-1.75858252147249,-6.51741747852753,6.18800000000001))!#14617=CARTESIAN_POINT('Ctrl Pts',(-1.89116504294496,-6.65000000000001, 6.15178419570384))!#14618=CARTESIAN_POINT('Ctrl Pts',(-1.81350000000001,-6.57233495705505, 6.18800000000001))!#14619=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147249,-6.51741747852753, 6.18800000000001))!#14620=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147249,-6.78258252147249, 6.18800000000001))!#14621=CARTESIAN_POINT('Ctrl Pts',(-1.81350000000001,-6.72766504294496, 6.18800000000001))!#14622=CARTESIAN_POINT('Ctrl Pts',(-1.89116504294496,-6.65000000000001, 6.15178419570384))!#14623=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.18800000000001))!#14624=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.16989209785192))!#14625=CARTESIAN_POINT('',(-1.49341747852753,-6.51741747852753,6.18800000000001))!#14626=CARTESIAN_POINT('',(-1.36083495705505,-6.65000000000001,6.15178419570384))!#14627=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852753,-6.51741747852753, 6.18800000000001))!#14628=CARTESIAN_POINT('Ctrl Pts',(-1.43850000000001,-6.57233495705505, 6.18800000000001))!#14629=CARTESIAN_POINT('Ctrl Pts',(-1.36083495705505,-6.65000000000001, 6.15178419570384))!#14630=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.18800000000001))!#14631=CARTESIAN_POINT('Ctrl Pts',(-1.36083495705505,-6.65000000000001, 6.15178419570384))!#14632=CARTESIAN_POINT('Ctrl Pts',(-1.43850000000001,-6.72766504294496, 6.18800000000001))!#14633=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852753,-6.78258252147249, 6.18800000000001))!#14634=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.16989209785192))!#14635=CARTESIAN_POINT('',(-1.62600000000001,-6.38483495705505,6.15178419570384))!#14636=CARTESIAN_POINT('Ctrl Pts',(-1.75858252147249,-6.51741747852753, 6.18800000000001))!#14637=CARTESIAN_POINT('Ctrl Pts',(-1.70366504294496,-6.46250000000001, 6.18800000000001))!#14638=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.38483495705505, 6.15178419570384))!#14639=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.65000000000001,6.18800000000001))!#14640=CARTESIAN_POINT('Ctrl Pts',(-1.62600000000001,-6.38483495705505, 6.15178419570384))!#14641=CARTESIAN_POINT('Ctrl Pts',(-1.54833495705505,-6.46250000000001, 6.18800000000001))!#14642=CARTESIAN_POINT('Ctrl Pts',(-1.49341747852753,-6.51741747852753, 6.18800000000001))!#14643=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.38483495705505,6.00000000000001))!#14644=CARTESIAN_POINT('',(-1.36083495705505,-6.65000000000001,6.00000000000001))!#14645=CARTESIAN_POINT('',(-1.36083495705505,-6.65000000000001,6.00000000000001))!#14646=CARTESIAN_POINT('',(-1.62600000000001,-6.38483495705505,6.00000000000001))!#14647=CARTESIAN_POINT('',(-6.29095873926377,-1.71987621779129,6.00000000000001))!#14648=CARTESIAN_POINT('',(-1.62600000000001,-6.38483495705505,6.00000000000001))!#14649=CARTESIAN_POINT('Origin',(-1.36083495705505,-6.65000000000001,6.00000000000001))!#14650=CARTESIAN_POINT('',(-1.62600000000001,-6.91516504294496,6.00000000000001))!#14651=CARTESIAN_POINT('',(-1.62600000000001,-6.91516504294496,6.00000000000001))!#14652=CARTESIAN_POINT('',(-6.15587621779129,-11.4450412607363,6.00000000000001))!#14653=CARTESIAN_POINT('Origin',(-1.89116504294496,-6.65000000000001,6.00000000000001))!#14654=CARTESIAN_POINT('',(-1.89116504294496,-6.65000000000001,6.00000000000001))!#14655=CARTESIAN_POINT('',(-6.55362378220872,-11.3124587392638,6.00000000000001))!#14656=CARTESIAN_POINT('',(-1.89116504294496,-6.65000000000001,6.00000000000001))!#14657=CARTESIAN_POINT('Origin',(-1.62600000000001,-6.91516504294496,6.00000000000001))!#14658=CARTESIAN_POINT('',(-6.42354126073624,-2.11762378220873,6.00000000000001))!#14659=CARTESIAN_POINT('Origin',(-1.53225000000001,-6.74375000000001,6.18800000000001))!#14660=CARTESIAN_POINT('Origin',(-20.546,-6.64500000000001,6.00000000000001))!#14661=CARTESIAN_POINT('',(-0.626000000000005,-6.27000000000001,6.00000000000001))!#14662=CARTESIAN_POINT('',(-0.626000000000005,-7.02000000000001,6.00000000000001))!#14663=CARTESIAN_POINT('',(-0.626000000000005,-6.64500000000001,6.00000000000001))!#14664=CARTESIAN_POINT('',(-20.546,-6.27000000000001,6.00000000000001))!#14665=CARTESIAN_POINT('',(-0.626000000000005,-6.27000000000001,6.00000000000001))!#14666=CARTESIAN_POINT('',(-20.546,-7.02000000000001,6.00000000000001))!#14667=CARTESIAN_POINT('',(-20.546,-6.64500000000001,6.00000000000001))!#14668=CARTESIAN_POINT('',(-0.626000000000005,-7.02000000000001,6.00000000000001))!#14669=CARTESIAN_POINT('',(-19.546,-6.38483495705506,6.00000000000001))!#14670=CARTESIAN_POINT('',(-19.280834957055,-6.65000000000001,6.00000000000001))!#14671=CARTESIAN_POINT('',(-19.7309587392638,-6.19987621779129,6.00000000000001))!#14672=CARTESIAN_POINT('',(-19.546,-6.91516504294497,6.00000000000001))!#14673=CARTESIAN_POINT('',(-19.5958762177913,-6.96504126073625,6.00000000000001))!#14674=CARTESIAN_POINT('',(-19.8111650429449,-6.65000000000001,6.00000000000001))!#14675=CARTESIAN_POINT('',(-19.8635412607362,-6.59762378220873,6.00000000000001))!#14676=CARTESIAN_POINT('',(-19.9936237822087,-6.83245873926377,6.00000000000001))!#14677=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.00000000000001))!#14678=CARTESIAN_POINT('',(-19.421,-6.65000000000001,5.48412291827593))!#14679=CARTESIAN_POINT('',(-19.546,-6.77500000000001,5.50000000000001))!#14680=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.65000000000001,5.48412291827593))!#14681=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.66576867633481,5.48412291827593))!#14682=CARTESIAN_POINT('Ctrl Pts',(-19.4241651182388,-6.68254021350133, 5.48497357933211))!#14683=CARTESIAN_POINT('Ctrl Pts',(-19.4369420018605,-6.71331633562882, 5.48800559722367))!#14684=CARTESIAN_POINT('Ctrl Pts',(-19.4465503615218,-6.72732705681842, 5.49013882564543))!#14685=CARTESIAN_POINT('Ctrl Pts',(-19.4686713966161,-6.74944809191271, 5.49411188083469))!#14686=CARTESIAN_POINT('Ctrl Pts',(-19.4826827619247,-6.75905765741342, 5.49621562972543))!#14687=CARTESIAN_POINT('Ctrl Pts',(-19.5134611680229,-6.77183542137877, 5.49917957512245))!#14688=CARTESIAN_POINT('Ctrl Pts',(-19.5302335284071,-6.77500000000001, 5.50000000000001))!#14689=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.77500000000001,5.50000000000001))!#14690=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.77500000000001,5.50000000000001))!#14691=CARTESIAN_POINT('Ctrl Pts',(-19.5617664715929,-6.77500000000001, 5.50000000000001))!#14692=CARTESIAN_POINT('Ctrl Pts',(-19.5785388319771,-6.77183542137877, 5.49917957512245))!#14693=CARTESIAN_POINT('Ctrl Pts',(-19.6093172380752,-6.75905765741342, 5.49621562972543))!#14694=CARTESIAN_POINT('Ctrl Pts',(-19.6233286033839,-6.74944809191271, 5.49411188083469))!#14695=CARTESIAN_POINT('Ctrl Pts',(-19.6454496384782,-6.72732705681842, 5.49013882564543))!#14696=CARTESIAN_POINT('Ctrl Pts',(-19.6550579981395,-6.71331633562882, 5.48800559722367))!#14697=CARTESIAN_POINT('Ctrl Pts',(-19.6678348817612,-6.68254021350133, 5.48497357933211))!#14698=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.66576867633481,5.48412291827593))!#14699=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.63423132366521,5.48412291827593))!#14700=CARTESIAN_POINT('Ctrl Pts',(-19.6678348817612,-6.6174597864987,5.48497357933211))!#14701=CARTESIAN_POINT('Ctrl Pts',(-19.6550579981395,-6.58668366437121, 5.48800559722367))!#14702=CARTESIAN_POINT('Ctrl Pts',(-19.6454496384782,-6.5726729431816,5.49013882564543))!#14703=CARTESIAN_POINT('Ctrl Pts',(-19.6233286033839,-6.55055190808732, 5.49411188083469))!#14704=CARTESIAN_POINT('Ctrl Pts',(-19.6093172380752,-6.5409423425866,5.49621562972543))!#14705=CARTESIAN_POINT('Ctrl Pts',(-19.5785388319771,-6.52816457862126, 5.49917957512245))!#14706=CARTESIAN_POINT('Ctrl Pts',(-19.5617664715929,-6.52500000000001, 5.50000000000001))!#14707=CARTESIAN_POINT('Ctrl Pts',(-19.5302335284071,-6.52500000000001, 5.50000000000001))!#14708=CARTESIAN_POINT('Ctrl Pts',(-19.5134611680229,-6.52816457862126, 5.49917957512245))!#14709=CARTESIAN_POINT('Ctrl Pts',(-19.4826827619247,-6.5409423425866,5.49621562972543))!#14710=CARTESIAN_POINT('Ctrl Pts',(-19.4686713966161,-6.55055190808732, 5.49411188083469))!#14711=CARTESIAN_POINT('Ctrl Pts',(-19.4465503615218,-6.5726729431816,5.49013882564543))!#14712=CARTESIAN_POINT('Ctrl Pts',(-19.4369420018605,-6.58668366437121, 5.48800559722367))!#14713=CARTESIAN_POINT('Ctrl Pts',(-19.4241651182388,-6.6174597864987,5.48497357933211))!#14714=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.63423132366522,5.48412291827593))!#14715=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.65000000000001,5.48412291827593))!#14716=CARTESIAN_POINT('',(-19.546,-6.77500000000001,5.50100000000001))!#14717=CARTESIAN_POINT('',(-19.546,-6.77500000000001,6.00000000000001))!#14718=CARTESIAN_POINT('',(-19.671,-6.65000000000001,5.48515564512845))!#14719=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.65000000000001,5.48515564512845))!#14720=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.66576836985477,5.48515564512845))!#14721=CARTESIAN_POINT('Ctrl Pts',(-19.6678349992438,-6.68253978799469, 5.48600445863324))!#14722=CARTESIAN_POINT('Ctrl Pts',(-19.6550581951147,-6.71331600368692, 5.48903007039583))!#14723=CARTESIAN_POINT('Ctrl Pts',(-19.6454497848502,-6.72732691044648, 5.49115886064304))!#14724=CARTESIAN_POINT('Ctrl Pts',(-19.6233284443479,-6.74944825094877, 5.4951237852978))!#14725=CARTESIAN_POINT('Ctrl Pts',(-19.6093168986046,-6.75905785724711, 5.49722327626596))!#14726=CARTESIAN_POINT('Ctrl Pts',(-19.5785384176526,-6.77183553448435, 5.50018123462481))!#14727=CARTESIAN_POINT('Ctrl Pts',(-19.5617661832379,-6.77500000000001, 5.50100000000001))!#14728=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.77500000000001,5.50100000000001))!#14729=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.77500000000001,5.50100000000001))!#14730=CARTESIAN_POINT('Ctrl Pts',(-19.5302338167621,-6.77500000000001, 5.50100000000001))!#14731=CARTESIAN_POINT('Ctrl Pts',(-19.5134615823474,-6.77183553448435, 5.50018123462481))!#14732=CARTESIAN_POINT('Ctrl Pts',(-19.4826831013954,-6.75905785724711, 5.49722327626596))!#14733=CARTESIAN_POINT('Ctrl Pts',(-19.4686715556521,-6.74944825094877, 5.4951237852978))!#14734=CARTESIAN_POINT('Ctrl Pts',(-19.4465502151498,-6.72732691044648, 5.49115886064304))!#14735=CARTESIAN_POINT('Ctrl Pts',(-19.4369418048853,-6.71331600368692, 5.48903007039582))!#14736=CARTESIAN_POINT('Ctrl Pts',(-19.4241650007562,-6.68253978799469, 5.48600445863324))!#14737=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.66576836985477,5.48515564512845))!#14738=CARTESIAN_POINT('Ctrl Pts',(-19.421,-6.63423163014526,5.48515564512845))!#14739=CARTESIAN_POINT('Ctrl Pts',(-19.4241650007562,-6.61746021200533, 5.48600445863324))!#14740=CARTESIAN_POINT('Ctrl Pts',(-19.4369418048853,-6.58668399631311, 5.48903007039582))!#14741=CARTESIAN_POINT('Ctrl Pts',(-19.4465502151498,-6.57267308955355, 5.49115886064304))!#14742=CARTESIAN_POINT('Ctrl Pts',(-19.4686715556521,-6.55055174905126, 5.4951237852978))!#14743=CARTESIAN_POINT('Ctrl Pts',(-19.4826831013954,-6.54094214275291, 5.49722327626596))!#14744=CARTESIAN_POINT('Ctrl Pts',(-19.5134615823474,-6.52816446551568, 5.50018123462481))!#14745=CARTESIAN_POINT('Ctrl Pts',(-19.5302338167621,-6.52500000000001, 5.501))!#14746=CARTESIAN_POINT('Ctrl Pts',(-19.5617661832379,-6.52500000000001, 5.50100000000001))!#14747=CARTESIAN_POINT('Ctrl Pts',(-19.5785384176526,-6.52816446551568, 5.50018123462481))!#14748=CARTESIAN_POINT('Ctrl Pts',(-19.6093168986046,-6.54094214275291, 5.49722327626596))!#14749=CARTESIAN_POINT('Ctrl Pts',(-19.6233284443479,-6.55055174905125, 5.4951237852978))!#14750=CARTESIAN_POINT('Ctrl Pts',(-19.6454497848502,-6.57267308955355, 5.49115886064304))!#14751=CARTESIAN_POINT('Ctrl Pts',(-19.6550581951147,-6.5866839963131,5.48903007039583))!#14752=CARTESIAN_POINT('Ctrl Pts',(-19.6678349992438,-6.61746021200533, 5.48600445863324))!#14753=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.63423163014526,5.48515564512845))!#14754=CARTESIAN_POINT('Ctrl Pts',(-19.671,-6.65000000000001,5.48515564512845))!#14755=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.16989209785192))!#14756=CARTESIAN_POINT('',(-19.4134174785275,-6.78258252147249,6.18800000000001))!#14757=CARTESIAN_POINT('',(-19.546,-6.91516504294497,6.15178419570384))!#14758=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.78258252147249, 6.18800000000001))!#14759=CARTESIAN_POINT('Ctrl Pts',(-19.468334957055,-6.83750000000001,6.18800000000001))!#14760=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.91516504294497,6.15178419570384))!#14761=CARTESIAN_POINT('',(-19.6785825214725,-6.78258252147249,6.18800000000001))!#14762=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.18800000000001))!#14763=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.91516504294497,6.15178419570384))!#14764=CARTESIAN_POINT('Ctrl Pts',(-19.623665042945,-6.83750000000001,6.18800000000001))!#14765=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.78258252147249, 6.18800000000001))!#14766=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.16989209785192))!#14767=CARTESIAN_POINT('',(-19.8111650429449,-6.65000000000001,6.15178419570384))!#14768=CARTESIAN_POINT('',(-19.6785825214725,-6.51741747852754,6.18800000000001))!#14769=CARTESIAN_POINT('Ctrl Pts',(-19.8111650429449,-6.65000000000001, 6.15178419570384))!#14770=CARTESIAN_POINT('Ctrl Pts',(-19.7335,-6.57233495705506,6.18800000000001))!#14771=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.51741747852754, 6.18800000000001))!#14772=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.78258252147249, 6.18800000000001))!#14773=CARTESIAN_POINT('Ctrl Pts',(-19.7335,-6.72766504294497,6.18800000000001))!#14774=CARTESIAN_POINT('Ctrl Pts',(-19.8111650429449,-6.65000000000001, 6.15178419570384))!#14775=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.18800000000001))!#14776=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.16989209785192))!#14777=CARTESIAN_POINT('',(-19.4134174785275,-6.51741747852754,6.18800000000001))!#14778=CARTESIAN_POINT('',(-19.280834957055,-6.65000000000001,6.15178419570384))!#14779=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.51741747852754, 6.18800000000001))!#14780=CARTESIAN_POINT('Ctrl Pts',(-19.3585,-6.57233495705506,6.18800000000001))!#14781=CARTESIAN_POINT('Ctrl Pts',(-19.280834957055,-6.65000000000001,6.15178419570384))!#14782=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.18800000000001))!#14783=CARTESIAN_POINT('Ctrl Pts',(-19.280834957055,-6.65000000000001,6.15178419570384))!#14784=CARTESIAN_POINT('Ctrl Pts',(-19.3585,-6.72766504294497,6.18800000000001))!#14785=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.78258252147249, 6.18800000000001))!#14786=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.16989209785192))!#14787=CARTESIAN_POINT('',(-19.546,-6.38483495705506,6.15178419570384))!#14788=CARTESIAN_POINT('Ctrl Pts',(-19.6785825214725,-6.51741747852754, 6.18800000000001))!#14789=CARTESIAN_POINT('Ctrl Pts',(-19.623665042945,-6.46250000000001,6.18800000000001))!#14790=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.38483495705506,6.15178419570384))!#14791=CARTESIAN_POINT('Origin',(-19.546,-6.65000000000001,6.18800000000001))!#14792=CARTESIAN_POINT('Ctrl Pts',(-19.546,-6.38483495705506,6.15178419570384))!#14793=CARTESIAN_POINT('Ctrl Pts',(-19.468334957055,-6.46250000000001,6.18800000000001))!#14794=CARTESIAN_POINT('Ctrl Pts',(-19.4134174785275,-6.51741747852754, 6.18800000000001))!#14795=CARTESIAN_POINT('Origin',(-19.546,-6.38483495705506,6.00000000000001))!#14796=CARTESIAN_POINT('',(-19.280834957055,-6.65000000000001,6.00000000000001))!#14797=CARTESIAN_POINT('',(-19.546,-6.38483495705506,6.00000000000001))!#14798=CARTESIAN_POINT('Origin',(-19.280834957055,-6.65000000000001,6.00000000000001))!#14799=CARTESIAN_POINT('',(-19.546,-6.91516504294497,6.00000000000001))!#14800=CARTESIAN_POINT('Origin',(-19.8111650429449,-6.65000000000001,6.00000000000001))!#14801=CARTESIAN_POINT('',(-19.8111650429449,-6.65000000000001,6.00000000000001))!#14802=CARTESIAN_POINT('Origin',(-19.546,-6.91516504294497,6.00000000000001))!#14803=CARTESIAN_POINT('Origin',(-19.45225,-6.74375000000001,6.18800000000001))!#14804=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,5.00000000000001))!#14805=CARTESIAN_POINT('',(-19.046,-9.27000000000001,5.00000000000001))!#14806=CARTESIAN_POINT('',(-20.046,-9.27000000000001,5.00000000000001))!#14807=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,5.00000000000001))!#14808=CARTESIAN_POINT('',(-19.546,-9.77000000000001,5.00000000000001))!#14809=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,5.00000000000001))!#14810=CARTESIAN_POINT('Origin',(-19.546,-9.27000000000001,5.00000000000001))!#14811=CARTESIAN_POINT('Origin',(-19.546,-6.28500000000001,5.00000000000001))!#14812=CARTESIAN_POINT('',(-19.046,-6.30000000000001,5.00000000000001))!#14813=CARTESIAN_POINT('',(-20.046,-6.30000000000001,5.00000000000001))!#14814=CARTESIAN_POINT('Origin',(-19.546,-6.30000000000001,5.00000000000001))!#14815=CARTESIAN_POINT('Origin',(-19.546,-6.30000000000001,5.00000000000001))!#14816=CARTESIAN_POINT('',(-20.016,-6.27,5.00000000000001))!#14817=CARTESIAN_POINT('',(-20.031,-6.28500000000001,5.00000000000001))!#14818=CARTESIAN_POINT('',(-19.076,-6.27000000000001,5.00000000000001))!#14819=CARTESIAN_POINT('Origin',(-19.546,-6.27,5.00000000000001))!#14820=CARTESIAN_POINT('Origin',(-19.546,-6.27,5.00000000000001))!#14821=CARTESIAN_POINT('Origin',(-19.546,-7.78500000000001,5.00000000000001))!#14822=CARTESIAN_POINT('',(-20.046,-7.78500000000001,5.00000000000001))!#14823=CARTESIAN_POINT('Origin',(-19.546,-6.64500000000001,5.00000000000001))!#14824=CARTESIAN_POINT('',(-19.546,-7.02000000000001,4.49900000000001))!#14825=CARTESIAN_POINT('Origin',(-19.546,-7.02000000000001,5.00000000000001))!#14826=CARTESIAN_POINT('',(-19.546,-6.27000000000001,4.49900000000001))!#14827=CARTESIAN_POINT('',(-19.546,-6.64500000000001,4.49900000000001))!#14828=CARTESIAN_POINT('Origin',(-19.546,-6.27,5.00000000000001))!#14829=CARTESIAN_POINT('Origin',(-1.546,-6.64500000000001,5.00000000000001))!#14830=CARTESIAN_POINT('',(-1.546,-7.02000000000001,4.49900000000001))!#14831=CARTESIAN_POINT('Origin',(-1.546,-7.02000000000001,5.00000000000001))!#14832=CARTESIAN_POINT('',(-1.546,-6.27000000000001,4.49900000000001))!#14833=CARTESIAN_POINT('',(-1.546,-6.64500000000001,4.49900000000001))!#14834=CARTESIAN_POINT('Origin',(-1.546,-6.27000000000001,5.00000000000001))!#14835=CARTESIAN_POINT('Origin',(-18.046,-6.77000000000001,5.00000000000001))!#14836=CARTESIAN_POINT('',(-18.046,-6.79070356737613,4.79150000000001))!#14837=CARTESIAN_POINT('',(-18.046,-6.79070356737612,5.2085))!#14838=CARTESIAN_POINT('Origin',(-18.046,-6.79070356737613,5.00000000000001))!#14839=CARTESIAN_POINT('Origin',(-18.046,-6.79070356737613,5.00000000000001))!#14840=CARTESIAN_POINT('',(-18.046,-7.02000000000001,4.79150000000001))!#14841=CARTESIAN_POINT('',(-18.046,-6.77000000000001,4.79150000000001))!#14842=CARTESIAN_POINT('Origin',(-18.046,-7.02000000000001,5.00000000000001))!#14843=CARTESIAN_POINT('Origin',(-9.796,-6.77000000000001,5.00000000000001))!#14844=CARTESIAN_POINT('',(-9.796,-7.02000000000001,4.79150000000001))!#14845=CARTESIAN_POINT('',(-9.796,-6.77000000000001,4.79150000000001))!#14846=CARTESIAN_POINT('Origin',(-9.796,-7.02000000000001,5.00000000000001))!#14847=CARTESIAN_POINT('Origin',(-10.586,-7.02000000000001,5.00000000000001))!#14848=CARTESIAN_POINT('',(-0.626000000000004,-7.02000000000001,4.00000000000001))!#14849=CARTESIAN_POINT('',(-0.626000000000004,-7.02000000000001,4.00000000000001))!#14850=CARTESIAN_POINT('',(-20.546,-7.02000000000001,4.))!#14851=CARTESIAN_POINT('',(-20.546,-7.02000000000001,6.00000000000001))!#14852=CARTESIAN_POINT('',(-20.546,-7.02000000000001,4.))!#14853=CARTESIAN_POINT('Origin',(-20.546,-6.64500000000001,4.))!#14854=CARTESIAN_POINT('',(-20.546,-6.27000000000001,4.))!#14855=CARTESIAN_POINT('',(-20.546,-6.27000000000001,6.00000000000001))!#14856=CARTESIAN_POINT('',(-20.546,-6.64500000000001,4.))!#14857=CARTESIAN_POINT('Origin',(-0.626000000000004,-6.64500000000001,4.00000000000001))!#14858=CARTESIAN_POINT('',(-20.416,-6.27,4.00000000000001))!#14859=CARTESIAN_POINT('',(-20.546,-6.27000000000001,4.))!#14860=CARTESIAN_POINT('',(-9.166,-6.27,4.00000000000001))!#14861=CARTESIAN_POINT('',(-4.896,-6.27,4.00000000000001))!#14862=CARTESIAN_POINT('',(-0.626000000000004,-6.27000000000001,4.00000000000001))!#14863=CARTESIAN_POINT('',(-20.546,-6.27000000000001,4.))!#14864=CARTESIAN_POINT('',(-0.626000000000004,-6.64500000000001,4.00000000000001))!#14865=CARTESIAN_POINT('Origin',(-0.626000000000005,-6.64500000000001,6.00000000000001))!#14866=CARTESIAN_POINT('',(-0.626000000000004,-6.27000000000001,4.00000000000001))!#14867=CARTESIAN_POINT('Origin',(-10.586,-6.27000000000001,5.00000000000001))!#14868=CARTESIAN_POINT('',(-20.416,-6.27,5.075))!#14869=CARTESIAN_POINT('',(-20.416,-6.27,5.575))!#14870=CARTESIAN_POINT('',(-9.166,-6.27,5.075))!#14871=CARTESIAN_POINT('',(-9.166,-6.27,5.575))!#14872=CARTESIAN_POINT('',(-9.66600000000001,-6.27,5.575))!#14873=CARTESIAN_POINT('Origin',(-9.66600000000001,-6.27,5.075))!#14874=CARTESIAN_POINT('',(-19.916,-6.27,5.575))!#14875=CARTESIAN_POINT('',(-9.166,-6.27,5.575))!#14876=CARTESIAN_POINT('Origin',(-19.916,-6.27,5.075))!#14877=CARTESIAN_POINT('Origin',(-9.166,-6.27,3.59687174594941))!#14878=CARTESIAN_POINT('Origin',(1.45669291338583,9.44461811023622,-3.26771653543307))!#14879=CARTESIAN_POINT('',(0.735377124900838,9.44461811023622,-2.67279760542472))!#14880=CARTESIAN_POINT('',(0.861773983377479,9.44461811023622,-3.98903232391806))!#14881=CARTESIAN_POINT('Origin',(1.45669291338583,9.44461811023622,-3.26771653543307))!#14882=CARTESIAN_POINT('Origin',(1.45669291338583,9.44461811023622,-3.26771653543307))!#14883=CARTESIAN_POINT('Origin',(1.45669291338583,9.3202963612789,-3.26771653543307))!#14884=CARTESIAN_POINT('',(0.79998570741058,9.36258252921427,-4.06394817673446))!#14885=CARTESIAN_POINT('',(0.660461272084442,9.36258252921427,-2.61100932945782))!#14886=CARTESIAN_POINT('Origin',(1.45669291338583,9.36258252921427,-3.26771653543307))!#14887=CARTESIAN_POINT('',(0.683303525197376,9.3202963612789,-2.62984891261663))!#14888=CARTESIAN_POINT('Origin',(0.648587792061735,9.3202963612789,-2.60121645074457))!#14889=CARTESIAN_POINT('',(0.81882529056939,9.3202963612789,-4.04110592362152))!#14890=CARTESIAN_POINT('Origin',(1.45669291338583,9.3202963612789,-3.26771653543307))!#14891=CARTESIAN_POINT('Origin',(1.45669291338583,9.3202963612789,-3.26771653543307))!#14892=CARTESIAN_POINT('Origin',(1.45669291338583,9.36258252921427,-3.26771653543307))!#14893=CARTESIAN_POINT('Origin',(1.45669291338583,9.39821190536728,-3.26771653543307))!#14894=CARTESIAN_POINT('',(0.656574673294386,9.44461811023622,-4.23782841139016))!#14895=CARTESIAN_POINT('',(0.737699981931888,9.39821190536728,-4.13946716750584))!#14896=CARTESIAN_POINT('',(2.25681115347727,9.44461811023622,-2.29760465947599))!#14897=CARTESIAN_POINT('Origin',(1.45669291338583,9.44461811023622,-3.26771653543307))!#14898=CARTESIAN_POINT('Origin',(1.45669291338583,9.44461811023622,-3.26771653543307))!#14899=CARTESIAN_POINT('Origin',(1.45669291338583,9.20346190536728,-3.26771653543307))!#14900=CARTESIAN_POINT('',(2.09456053620226,9.05511811023622,-2.49432714724462))!#14901=CARTESIAN_POINT('',(0.81882529056939,9.05511811023622,-4.04110592362152))!#14902=CARTESIAN_POINT('Origin',(1.45669291338583,9.05511811023622,-3.26771653543307))!#14903=CARTESIAN_POINT('Origin',(1.45669291338583,9.05511811023622,-3.26771653543307))!#14904=CARTESIAN_POINT('',(0.81882529056939,9.20346190536728,-4.04110592362152))!#14905=CARTESIAN_POINT('Origin',(1.45669291338583,9.52411811023622,-3.26771653543307))!#14906=CARTESIAN_POINT('',(0.70130545226771,9.55511811023622,-4.18359410234869))!#14907=CARTESIAN_POINT('',(0.540815346470209,9.55511811023622,-2.51232907431495))!#14908=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14909=CARTESIAN_POINT('',(0.562829480383943,9.53623077521939,-2.53048565039729))!#14910=CARTESIAN_POINT('Origin',(0.540815346470209,9.52411811023622,-2.51232907431495))!#14911=CARTESIAN_POINT('',(0.719462028350049,9.53623077521939,-4.16157996843496))!#14912=CARTESIAN_POINT('Origin',(1.45669291338583,9.53623077521939,-3.26771653543307))!#14913=CARTESIAN_POINT('Origin',(1.45669291338583,9.53623077521939,-3.26771653543307))!#14914=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14915=CARTESIAN_POINT('Origin',(1.45669291338583,9.53813592871672,-3.26771653543307))!#14916=CARTESIAN_POINT('',(2.18985174142431,9.52115374719722,-2.37879031127697))!#14917=CARTESIAN_POINT('',(0.567766689229729,9.52115374719722,-2.53455770739459))!#14918=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14919=CARTESIAN_POINT('',(0.723534085347348,9.52115374719722,-4.15664275958917))!#14920=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14921=CARTESIAN_POINT('',(0.718947477762337,9.53813592871672,-4.16220384077145))!#14922=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14923=CARTESIAN_POINT('Origin',(2.25681115347727,9.55511811023622,-2.29760465947599))!#14924=CARTESIAN_POINT('',(2.25681115347727,9.55511811023622,-2.29760465947599))!#14925=CARTESIAN_POINT('',(0.656574673294386,9.55511811023622,-4.23782841139016))!#14926=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14927=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14928=CARTESIAN_POINT('Origin',(1.45669291338583,9.52411811023622,-3.26771653543307))!#14929=CARTESIAN_POINT('',(0.782661566594317,9.53623077521939,-4.08495301565012))!#14930=CARTESIAN_POINT('',(0.639456433168774,9.53623077521939,-2.59368518864156))!#14931=CARTESIAN_POINT('Origin',(1.45669291338583,9.53623077521939,-3.26771653543307))!#14932=CARTESIAN_POINT('',(0.661470567082508,9.55511811023622,-2.6118417647239))!#14933=CARTESIAN_POINT('Origin',(0.661470567082508,9.52411811023622,-2.6118417647239))!#14934=CARTESIAN_POINT('',(0.800818142676655,9.55511811023622,-4.06293888173639))!#14935=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14936=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14937=CARTESIAN_POINT('Origin',(1.45669291338583,9.53623077521939,-3.26771653543307))!#14938=CARTESIAN_POINT('Origin',(2.12562310200462,9.55511811023622,-2.4566650087348))!#14939=CARTESIAN_POINT('',(2.05161184339418,9.55511811023622,-2.54640074694808))!#14940=CARTESIAN_POINT('',(0.861773983377479,9.55511811023622,-3.98903232391806))!#14941=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14942=CARTESIAN_POINT('Origin',(1.45669291338583,9.55511811023622,-3.26771653543307))!#14943=CARTESIAN_POINT('Origin',(1.45669291338583,9.53813592871672,-3.26771653543307))!#14944=CARTESIAN_POINT('',(2.13479631717464,9.52115374719722,-2.44554284637023))!#14945=CARTESIAN_POINT('',(0.634519224322988,9.52115374719722,-2.58961313164426))!#14946=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14947=CARTESIAN_POINT('',(0.778589509597017,9.52115374719722,-4.08989022449591))!#14948=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14949=CARTESIAN_POINT('',(0.783176117182029,9.53813592871672,-4.08432914331363))!#14950=CARTESIAN_POINT('Origin',(1.45669291338583,9.52115374719722,-3.26771653543307))!#14951=CARTESIAN_POINT('Origin',(1.45669291338583,9.53951811023622,-3.26771653543307))!#14952=CARTESIAN_POINT('Origin',(0.601142956776358,9.53951811023622,-2.56208541951943))!#14953=CARTESIAN_POINT('Origin',(1.45669291338583,9.49986811023622,-3.26771653543307))!#14954=CARTESIAN_POINT('',(0.656574673294386,9.49986811023622,-4.23782841139016))!#14955=CARTESIAN_POINT('Origin',(1.45669291338583,9.30511811023622,-3.26771653543307))!#14956=CARTESIAN_POINT('',(0.861773983377479,9.30511811023622,-3.98903232391806))!#14957=CARTESIAN_POINT('Origin',(9.05511811023621,-0.191675733886629,1.16765186496331))!#14958=CARTESIAN_POINT('',(9.05511811023621,-0.180167977673752,1.23416368005615))!#14959=CARTESIAN_POINT('',(9.05511811023621,-0.607233597129396,-1.23416368005615))!#14960=CARTESIAN_POINT('Origin',(9.05511811023621,-0.393700787401574,2.01064012333689E-15))!#14961=CARTESIAN_POINT('Origin',(9.05511811023621,-0.393700787401574,2.01064012333689E-15))!#14962=CARTESIAN_POINT('',(9.05511811023622,0.856299212598426,2.0106401233369E-15))!#14963=CARTESIAN_POINT('',(9.05511811023622,-1.64370078740157,1.85755927344348E-15))!#14964=CARTESIAN_POINT('Origin',(9.05511811023622,-0.393700787401574,2.0106401233369E-15))!#14965=CARTESIAN_POINT('Origin',(9.05511811023622,-0.393700787401574,2.0106401233369E-15))!#14966=CARTESIAN_POINT('Origin',(9.44461811023621,-0.393700787401574,2.17421458290104E-15))!#14967=CARTESIAN_POINT('',(9.44461811023621,0.773951077561731,-0.202025053514943))!#14968=CARTESIAN_POINT('',(9.44461811023621,-0.59572584091652,-1.1676518649633))!#14969=CARTESIAN_POINT('Origin',(9.44461811023621,-0.393700787401574,2.17421458290104E-15))!#14970=CARTESIAN_POINT('Origin',(9.44461811023621,-0.393700787401574,2.17421458290104E-15))!#14971=CARTESIAN_POINT('Origin',(9.31392688217923,-0.393700787401574,2.11932948149104E-15))!#14972=CARTESIAN_POINT('',(9.3562130501146,-0.612281511651791,-1.26333930309744))!#14973=CARTESIAN_POINT('',(9.3562130501146,0.869638515695871,-0.218580724250214))!#14974=CARTESIAN_POINT('Origin',(9.3562130501146,-0.393700787401574,2.15047219408789E-15))!#14975=CARTESIAN_POINT('',(9.31392688217923,0.840462892654577,-0.21353280972782))!#14976=CARTESIAN_POINT('Origin',(9.31392688217923,0.884804102716475,-0.221204647203071))!#14977=CARTESIAN_POINT('',(9.31392688217923,-0.607233597129396,-1.23416368005615))!#14978=CARTESIAN_POINT('Origin',(9.31392688217923,-0.393700787401574,2.11932948149104E-15))!#14979=CARTESIAN_POINT('Origin',(9.31392688217923,-0.393700787401574,2.11932948149104E-15))!#14980=CARTESIAN_POINT('Origin',(9.3562130501146,-0.393700787401574,2.15047219408789E-15))!#14981=CARTESIAN_POINT('Origin',(9.39502716581744,-0.393700787401574,2.15338836484565E-15))!#14982=CARTESIAN_POINT('',(9.44461811023621,-0.653690835173972,-1.50267434098653))!#14983=CARTESIAN_POINT('',(9.39502716581744,-0.630462216151684,-1.36841901052134))!#14984=CARTESIAN_POINT('',(9.44461811023621,-0.133710739629176,1.50267434098653))!#14985=CARTESIAN_POINT('Origin',(9.44461811023621,-0.393700787401574,2.17421458290104E-15))!#14986=CARTESIAN_POINT('Origin',(9.44461811023621,-0.393700787401574,2.17421458290104E-15))!#14987=CARTESIAN_POINT('Origin',(9.20027716581744,-0.393700787401574,2.07160113506357E-15))!#14988=CARTESIAN_POINT('',(9.20027716581744,-0.607233597129396,-1.23416368005615))!#14989=CARTESIAN_POINT('Origin',(9.52411811023621,-0.393700787401574,2.20760141097639E-15))!#14990=CARTESIAN_POINT('',(9.55511811023621,-0.644092377212057,-1.44719776941778))!#14991=CARTESIAN_POINT('',(9.55511811023621,1.05349698201621,-0.250391589810481))!#14992=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#14993=CARTESIAN_POINT('',(9.53623077521937,1.02537908710137,-0.245526681531439))!#14994=CARTESIAN_POINT('Origin',(9.52411811023621,1.05349698201621,-0.250391589810481))!#14995=CARTESIAN_POINT('',(9.53623077521938,-0.639227468933015,-1.41907987450294))!#14996=CARTESIAN_POINT('Origin',(9.53623077521937,-0.393700787401574,2.22772242022523E-15))!#14997=CARTESIAN_POINT('Origin',(9.53623077521937,-0.393700787401574,2.22772242022523E-15))!#14998=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#14999=CARTESIAN_POINT('Origin',(9.53813592871671,-0.393700787401574,2.21348833544935E-15))!#15000=CARTESIAN_POINT('',(9.52115374719721,-0.149265180735188,1.41277374771901))!#15001=CARTESIAN_POINT('',(9.52115374719721,1.01907296031744,-0.244435606666384))!#15002=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15003=CARTESIAN_POINT('',(9.52115374719721,-0.63813639406796,-1.41277374771901))!#15004=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15005=CARTESIAN_POINT('',(9.53813592871671,-0.639365338617409,-1.41987672514747))!#15006=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15007=CARTESIAN_POINT('Origin',(9.55511811023621,-0.133710739629176,1.50267434098653))!#15008=CARTESIAN_POINT('',(9.55511811023621,-0.133710739629176,1.50267434098653))!#15009=CARTESIAN_POINT('',(9.55511811023621,-0.653690835173972,-1.50267434098653))!#15010=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15011=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15012=CARTESIAN_POINT('Origin',(9.52411811023621,-0.393700787401574,2.20760141097639E-15))!#15013=CARTESIAN_POINT('',(9.53623077521938,-0.62229366184065,-1.32120690732233))!#15014=CARTESIAN_POINT('',(9.53623077521937,0.92750611992076,-0.228592874439074))!#15015=CARTESIAN_POINT('Origin',(9.53623077521937,-0.393700787401574,2.22668552359257E-15))!#15016=CARTESIAN_POINT('',(9.55511811023621,0.899388225005919,-0.223727966160032))!#15017=CARTESIAN_POINT('Origin',(9.52411811023621,0.899388225005919,-0.223727966160032))!#15018=CARTESIAN_POINT('',(9.55511811023621,-0.617428753561609,-1.29308901240749))!#15019=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15020=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15021=CARTESIAN_POINT('Origin',(9.53623077521937,-0.393700787401574,2.22668552359257E-15))!#15022=CARTESIAN_POINT('Origin',(9.55511811023621,-0.16647472719634,1.31330707924935))!#15023=CARTESIAN_POINT('',(9.55511811023621,-0.191675733886629,1.16765186496331))!#15024=CARTESIAN_POINT('',(9.55511811023621,-0.595725840916519,-1.1676518649633))!#15025=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15026=CARTESIAN_POINT('Origin',(9.55511811023621,-0.393700787401574,2.22062017412527E-15))!#15027=CARTESIAN_POINT('Origin',(9.53813592871671,-0.393700787401574,2.21348833544935E-15))!#15028=CARTESIAN_POINT('',(9.52115374719721,-0.164016838097443,1.32751303410627))!#15029=CARTESIAN_POINT('',(9.52115374719721,0.933812246704691,-0.229683949304129))!#15030=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15031=CARTESIAN_POINT('',(9.52115374719721,-0.623384736705705,-1.32751303410626))!#15032=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15033=CARTESIAN_POINT('',(9.53813592871671,-0.622155792156257,-1.3204100566778))!#15034=CARTESIAN_POINT('Origin',(9.52115374719721,-0.393700787401574,2.20635649677342E-15))!#15035=CARTESIAN_POINT('Origin',(9.53951811023621,-0.393700787401574,2.21406879654067E-15))!#15036=CARTESIAN_POINT('Origin',(9.53951811023621,0.976442603511063,-0.237059777985257))!#15037=CARTESIAN_POINT('Origin',(9.49986811023621,-0.393700787401574,2.19741737851316E-15))!#15038=CARTESIAN_POINT('',(9.49986811023621,-0.653690835173972,-1.50267434098653))!#15039=CARTESIAN_POINT('Origin',(9.30511811023621,-0.393700787401574,2.11563014873108E-15))!#15040=CARTESIAN_POINT('',(9.30511811023621,-0.59572584091652,-1.1676518649633))!#15041=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15042=CARTESIAN_POINT('',(0.414345472440946,0.125,-5.13782435771528))!#15043=CARTESIAN_POINT('',(0.392016373071686,0.249999999999999,-5.20999314136399))!#15044=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.125,-5.13782435771528))!#15045=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.183012701892219, -5.17131800676917))!#15046=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,0.249999999999998, -5.20999314136398))!#15047=CARTESIAN_POINT('',(0.414345472440946,0.249999999999999,-5.35433070866139))!#15048=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15049=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,0.249999999999999, -5.20999314136399))!#15050=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.249999999999999, -5.28734341055361))!#15051=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.249999999999999, -5.35433070866139))!#15052=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15053=CARTESIAN_POINT('',(0.392016373071686,0.249999999999999,-5.4986682759588))!#15054=CARTESIAN_POINT('',(0.414345472440946,0.124999999999999,-5.5708370596075))!#15055=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,0.249999999999998, -5.4986682759588))!#15056=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.183012701892218, -5.53734341055361))!#15057=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.124999999999999, -5.5708370596075))!#15058=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.249999999999999, -5.35433070866139))!#15059=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.249999999999999, -5.42131800676917))!#15060=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,0.249999999999999, -5.4986682759588))!#15061=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15062=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15063=CARTESIAN_POINT('',(0.414345472440946,-0.125,-5.13782435771528))!#15064=CARTESIAN_POINT('',(0.392016373071686,-4.23514560040027E-16,-5.06565557406658))!#15065=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.125,-5.13782435771528))!#15066=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.0669872981077814, -5.10433070866139))!#15067=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,-1.10280727839717E-15, -5.06565557406658))!#15068=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15069=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,9.11646989834357E-16, -5.06565557406658))!#15070=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.0669872981077809, -5.10433070866139))!#15071=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.125,-5.13782435771528))!#15072=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15073=CARTESIAN_POINT('',(0.392016373071687,4.02602730772948E-16,-5.6430058432562))!#15074=CARTESIAN_POINT('',(0.414345472440946,-0.125,-5.5708370596075))!#15075=CARTESIAN_POINT('Ctrl Pts',(0.392016373071687,-1.64278296919469E-15, -5.6430058432562))!#15076=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.0669872981077816, -5.60433070866139))!#15077=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.125,-5.5708370596075))!#15078=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.124999999999999, -5.5708370596075))!#15079=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,0.0669872981077804, -5.60433070866139))!#15080=CARTESIAN_POINT('Ctrl Pts',(0.392016373071687,5.12214620076246E-16, -5.6430058432562))!#15081=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15082=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15083=CARTESIAN_POINT('',(0.414345472440946,-0.25,-5.35433070866139))!#15084=CARTESIAN_POINT('',(0.392016373071686,-0.25,-5.20999314136399))!#15085=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.25,-5.35433070866139))!#15086=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.25,-5.28734341055361))!#15087=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,-0.25,-5.20999314136399))!#15088=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15089=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,-0.249999999999999, -5.20999314136398))!#15090=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.183012701892219, -5.17131800676917))!#15091=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.125,-5.13782435771528))!#15092=CARTESIAN_POINT('Origin',(0.403180922756316,-4.47527393590525E-16, -5.35433070866139))!#15093=CARTESIAN_POINT('',(0.392016373071686,-0.249999999999999,-5.4986682759588))!#15094=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,-0.25,-5.4986682759588))!#15095=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.25,-5.42131800676917))!#15096=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.25,-5.35433070866139))!#15097=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.125,-5.5708370596075))!#15098=CARTESIAN_POINT('Ctrl Pts',(0.414345472440946,-0.18301270189222, -5.53734341055361))!#15099=CARTESIAN_POINT('Ctrl Pts',(0.392016373071686,-0.249999999999999, -5.4986682759588))!#15100=CARTESIAN_POINT('Origin',(0.414345472440945,-4.47503193561228E-16, -5.35433070866139))!#15101=CARTESIAN_POINT('Origin',(0.236220472440946,-0.25,-5.4986682759588))!#15102=CARTESIAN_POINT('',(0.236220472440945,-0.25,-5.20999314136398))!#15103=CARTESIAN_POINT('',(0.236220472440945,-0.25,-5.20999314136398))!#15104=CARTESIAN_POINT('',(0.236220472440946,-0.25,-5.4986682759588))!#15105=CARTESIAN_POINT('',(0.236220472440958,-0.25,-5.3452058553398))!#15106=CARTESIAN_POINT('',(0.236220472440946,-0.25,-5.4986682759588))!#15107=CARTESIAN_POINT('Origin',(0.236220472440945,-0.25,-5.20999314136398))!#15108=CARTESIAN_POINT('',(0.236220472440945,-1.42890469631146E-16,-5.06565557406658))!#15109=CARTESIAN_POINT('',(0.236220472440945,-1.42890469631146E-16,-5.06565557406658))!#15110=CARTESIAN_POINT('',(0.236220472440958,-0.365921746318859,-5.27692059280611))!#15111=CARTESIAN_POINT('Origin',(0.236220472440945,-1.42890469631146E-16, -5.06565557406658))!#15112=CARTESIAN_POINT('',(0.236220472440945,0.249999999999999,-5.20999314136399))!#15113=CARTESIAN_POINT('',(0.236220472440945,0.249999999999999,-5.20999314136399))!#15114=CARTESIAN_POINT('',(0.236220472440957,-0.186324101101163,-4.95808130413931))!#15115=CARTESIAN_POINT('Origin',(0.236220472440945,0.249999999999999,-5.20999314136399))!#15116=CARTESIAN_POINT('',(0.236220472440946,0.249999999999999,-5.4986682759588))!#15117=CARTESIAN_POINT('',(0.236220472440946,0.249999999999999,-5.4986682759588))!#15118=CARTESIAN_POINT('',(0.236220472440958,0.249999999999999,-5.2008682880424))!#15119=CARTESIAN_POINT('Origin',(0.236220472440946,0.249999999999999,-5.4986682759588))!#15120=CARTESIAN_POINT('',(0.236220472440946,-6.28060215777017E-16,-5.6430058432562))!#15121=CARTESIAN_POINT('',(0.236220472440946,-6.28060215777017E-16,-5.6430058432562))!#15122=CARTESIAN_POINT('',(0.236220472440959,0.0090782536811404,-5.63776451104963))!#15123=CARTESIAN_POINT('Origin',(0.236220472440946,-6.28060215777017E-16, -5.6430058432562))!#15124=CARTESIAN_POINT('',(0.236220472440959,-0.311324101101163,-5.46326278968023))!#15125=CARTESIAN_POINT('Origin',(0.414345472440945,-4.80285369485199E-16, -5.35433070866139))!#15126=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15127=CARTESIAN_POINT('',(-0.433070866141745,1.41732283464565,5.26163182154286))!#15128=CARTESIAN_POINT('',(-0.433070866141745,1.41732283464565,5.13206896585858))!#15129=CARTESIAN_POINT('',(-0.433070866141744,1.41732283464567,4.84395729339139))!#15130=CARTESIAN_POINT('',(-0.470472440944894,1.41732283464565,5.19685039370072))!#15131=CARTESIAN_POINT('',(-0.254921259842532,1.41732283464565,5.57019599100149))!#15132=CARTESIAN_POINT('',(-0.470472440944894,1.41732283464565,5.19685039370072))!#15133=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15134=CARTESIAN_POINT('',(0.177165354330696,1.41732283464567,5.11954784457249))!#15135=CARTESIAN_POINT('',(0.177165354330695,1.41732283464565,5.5684912165846))!#15136=CARTESIAN_POINT('',(0.177165354330696,1.41732283464567,4.98175256898194))!#15137=CARTESIAN_POINT('',(0.176181102362192,1.41732283464565,5.57019599100149))!#15138=CARTESIAN_POINT('',(0.391732283464555,1.41732283464565,5.19685039370072))!#15139=CARTESIAN_POINT('',(-0.254921259842532,1.41732283464565,5.57019599100149))!#15140=CARTESIAN_POINT('',(0.176181102362192,1.41732283464565,5.57019599100149))!#15141=CARTESIAN_POINT('',(-0.255905511811037,1.41732283464565,5.56849121658459))!#15142=CARTESIAN_POINT('',(-0.254921259842532,1.41732283464565,5.57019599100149))!#15143=CARTESIAN_POINT('',(-0.255905511811036,1.41732283464567,5.11954784457249))!#15144=CARTESIAN_POINT('',(-0.255905511811037,1.41732283464567,5.27971188671962))!#15145=CARTESIAN_POINT('Origin',(-0.0393700787401702,1.41732283464567,5.11954784457249))!#15146=CARTESIAN_POINT('',(-0.0393700787401698,1.41732283464565,5.39370078740151))!#15147=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15148=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15149=CARTESIAN_POINT('',(0.354330708661404,1.41732283464567,5.13206896585857))!#15150=CARTESIAN_POINT('',(0.354330708661404,1.41732283464567,5.26163182154286))!#15151=CARTESIAN_POINT('',(0.354330708661405,1.41732283464567,4.84395729339139))!#15152=CARTESIAN_POINT('',(0.391732283464555,1.41732283464565,5.19685039370072))!#15153=CARTESIAN_POINT('',(0.176181102362193,1.41732283464565,4.82350479639995))!#15154=CARTESIAN_POINT('',(0.391732283464555,1.41732283464565,5.19685039370072))!#15155=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15156=CARTESIAN_POINT('',(-0.266729514486138,1.41732283464567,4.84395729339139))!#15157=CARTESIAN_POINT('',(0.187989357005801,1.41732283464567,4.84395729339139))!#15158=CARTESIAN_POINT('',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15159=CARTESIAN_POINT('',(-0.254921259842531,1.41732283464565,4.82350479639995))!#15160=CARTESIAN_POINT('',(-0.470472440944894,1.41732283464565,5.19685039370072))!#15161=CARTESIAN_POINT('',(0.176181102362193,1.41732283464565,4.82350479639995))!#15162=CARTESIAN_POINT('',(-0.254921259842531,1.41732283464565,4.82350479639995))!#15163=CARTESIAN_POINT('',(0.176181102362193,1.41732283464565,4.82350479639995))!#15164=CARTESIAN_POINT('Origin',(-0.0393700787401694,1.41732283464565,5.19685039370072))!#15165=CARTESIAN_POINT('',(-0.0393700787401689,-0.410467851439532,4.99999999999993))!#15166=CARTESIAN_POINT('',(-0.0393700787401699,-0.304596514377997,5.3937007874015))!#15167=CARTESIAN_POINT('Ctrl Pts',(-0.0393700787401689,-0.410467851439532, 4.99999999999993))!#15168=CARTESIAN_POINT('Ctrl Pts',(-0.0139067759754703,-0.410467851439532, 4.99999999999993))!#15169=CARTESIAN_POINT('Ctrl Pts',(0.0123398349954961,-0.405654882486235, 5.0051991879214))!#15170=CARTESIAN_POINT('Ctrl Pts',(0.0595939467732463,-0.389625430417703, 5.0247741272815))!#15171=CARTESIAN_POINT('Ctrl Pts',(0.08069716673447,-0.378679944485578, 5.039139668536))!#15172=CARTESIAN_POINT('Ctrl Pts',(0.11517098625049,-0.357057458799312, 5.07243905012235))!#15173=CARTESIAN_POINT('Ctrl Pts',(0.13073742441869,-0.344677419185636, 5.09425578939953))!#15174=CARTESIAN_POINT('Ctrl Pts',(0.15191227722211,-0.323016436053764, 5.14324743167955))!#15175=CARTESIAN_POINT('Ctrl Pts',(0.157480314960618,-0.3138066684249,5.17047120920907))!#15176=CARTESIAN_POINT('Ctrl Pts',(0.157480314960618,-0.301771314418842, 5.22096028738303))!#15177=CARTESIAN_POINT('Ctrl Pts',(0.152747679828267,-0.297844306802679, 5.24710089979365))!#15178=CARTESIAN_POINT('Ctrl Pts',(0.132957003878127,-0.294572363008951, 5.29553029999221))!#15179=CARTESIAN_POINT('Ctrl Pts',(0.117892988137705,-0.295117053367759, 5.31780912559113))!#15180=CARTESIAN_POINT('Ctrl Pts',(0.0829566299407034,-0.297738021950369, 5.3530737075922))!#15181=CARTESIAN_POINT('Ctrl Pts',(0.0607702193779085,-0.299975126698355, 5.36836718624386))!#15182=CARTESIAN_POINT('Ctrl Pts',(0.0120739848953885,-0.303466706867796, 5.38868259492578))!#15183=CARTESIAN_POINT('Ctrl Pts',(-0.0144462567047509,-0.304596514377998, 5.3937007874015))!#15184=CARTESIAN_POINT('Ctrl Pts',(-0.0393700787401698,-0.304596514377998, 5.3937007874015))!#15185=CARTESIAN_POINT('Ctrl Pts',(-0.0393700787401699,-0.304596514377997, 5.3937007874015))!#15186=CARTESIAN_POINT('Ctrl Pts',(-0.0642939007755888,-0.304596514377997, 5.3937007874015))!#15187=CARTESIAN_POINT('Ctrl Pts',(-0.0908141423757279,-0.303466706867796, 5.38868259492578))!#15188=CARTESIAN_POINT('Ctrl Pts',(-0.139510376858248,-0.299975126698355, 5.36836718624386))!#15189=CARTESIAN_POINT('Ctrl Pts',(-0.161696787421043,-0.297738021950369, 5.3530737075922))!#15190=CARTESIAN_POINT('Ctrl Pts',(-0.196633145618045,-0.295117053367759, 5.31780912559113))!#15191=CARTESIAN_POINT('Ctrl Pts',(-0.211697161358466,-0.294572363008951, 5.29553029999221))!#15192=CARTESIAN_POINT('Ctrl Pts',(-0.231487837308606,-0.297844306802679, 5.24710089979365))!#15193=CARTESIAN_POINT('Ctrl Pts',(-0.236220472440957,-0.301771314418842, 5.22096028738303))!#15194=CARTESIAN_POINT('Ctrl Pts',(-0.236220472440957,-0.3138066684249, 5.17047120920907))!#15195=CARTESIAN_POINT('Ctrl Pts',(-0.230652434702449,-0.323016436053763, 5.14324743167955))!#15196=CARTESIAN_POINT('Ctrl Pts',(-0.209477581899029,-0.344677419185635, 5.09425578939953))!#15197=CARTESIAN_POINT('Ctrl Pts',(-0.193911143730827,-0.357057458799312, 5.07243905012235))!#15198=CARTESIAN_POINT('Ctrl Pts',(-0.159437324214808,-0.378679944485578, 5.039139668536))!#15199=CARTESIAN_POINT('Ctrl Pts',(-0.138334104253585,-0.389625430417703, 5.0247741272815))!#15200=CARTESIAN_POINT('Ctrl Pts',(-0.0910799924758343,-0.405654882486235, 5.0051991879214))!#15201=CARTESIAN_POINT('Ctrl Pts',(-0.0648333815048675,-0.410467851439532, 4.99999999999993))!#15202=CARTESIAN_POINT('Ctrl Pts',(-0.0393700787401688,-0.410467851439532, 4.99999999999993))!#15203=CARTESIAN_POINT('',(-0.0393700787401698,1.41732283464565,5.39370078740151))!#15204=CARTESIAN_POINT('Origin',(0.329320616104472,2.98228346456691,5.2852655331834))!#15205=CARTESIAN_POINT('',(0.369001957905908,2.99212598425195,5.19685039370072))!#15206=CARTESIAN_POINT('',(0.164815939582869,2.99212598425195,5.55051095163141))!#15207=CARTESIAN_POINT('',(0.320796744019979,2.99212598425195,5.28034427334087))!#15208=CARTESIAN_POINT('',(0.176181102362192,2.97244094488187,5.57019599100149))!#15209=CARTESIAN_POINT('',(0.164815939582869,2.99212598425195,5.55051095163141))!#15210=CARTESIAN_POINT('',(0.391732283464555,2.97244094488187,5.19685039370072))!#15211=CARTESIAN_POINT('',(0.337844488188964,2.97244094488187,5.29018679302591))!#15212=CARTESIAN_POINT('',(0.391732283464555,2.97244094488187,5.19685039370072))!#15213=CARTESIAN_POINT('Origin',(0.068405511811011,2.98228346456691,5.56035347131645))!#15214=CARTESIAN_POINT('',(-0.254921259842532,2.97244094488187,5.57019599100149))!#15215=CARTESIAN_POINT('',(0.068405511811011,2.97244094488187,5.57019599100149))!#15216=CARTESIAN_POINT('',(-0.243556097063209,2.99212598425195,5.55051095163141))!#15217=CARTESIAN_POINT('',(0.068405511811011,2.99212598425195,5.55051095163141))!#15218=CARTESIAN_POINT('',(-0.243556097063209,2.99212598425195,5.55051095163141))!#15219=CARTESIAN_POINT('Origin',(-0.30028518303363,2.98228346456691,5.47193833183378))!#15220=CARTESIAN_POINT('',(-0.470472440944894,2.97244094488187,5.19685039370072))!#15221=CARTESIAN_POINT('',(-0.308809055118123,2.97244094488187,5.4768595916763))!#15222=CARTESIAN_POINT('',(-0.447742115386247,2.99212598425195,5.19685039370072))!#15223=CARTESIAN_POINT('',(-0.291761310949138,2.99212598425195,5.46701707199126))!#15224=CARTESIAN_POINT('',(-0.447742115386247,2.99212598425195,5.19685039370072))!#15225=CARTESIAN_POINT('Origin',(0.221545025553291,2.98228346456691,4.92176245556766))!#15226=CARTESIAN_POINT('',(0.16481593958287,2.99212598425195,4.84318983577003))!#15227=CARTESIAN_POINT('',(0.213021153468799,2.99212598425195,4.92668371541018))!#15228=CARTESIAN_POINT('',(0.176181102362193,2.97244094488187,4.82350479639995))!#15229=CARTESIAN_POINT('',(0.230068897637784,2.97244094488187,4.91684119572514))!#15230=CARTESIAN_POINT('',(0.16481593958287,2.99212598425195,4.84318983577003))!#15231=CARTESIAN_POINT('Origin',(-0.408060773584811,2.98228346456691,5.10843525421805))!#15232=CARTESIAN_POINT('',(-0.254921259842531,2.97244094488187,4.82350479639995))!#15233=CARTESIAN_POINT('',(-0.416584645669303,2.97244094488187,5.10351399437553))!#15234=CARTESIAN_POINT('',(-0.243556097063208,2.99212598425195,4.84318983577003))!#15235=CARTESIAN_POINT('',(-0.399536901500318,2.99212598425195,5.11335651406057))!#15236=CARTESIAN_POINT('',(-0.243556097063208,2.99212598425195,4.84318983577003))!#15237=CARTESIAN_POINT('Origin',(-0.14714566929135,2.98228346456691,4.83334731608499))!#15238=CARTESIAN_POINT('',(-0.14714566929135,2.97244094488187,4.82350479639995))!#15239=CARTESIAN_POINT('',(-0.14714566929135,2.99212598425195,4.84318983577003))!#15240=CARTESIAN_POINT('Origin',(-0.254921259842531,2.99212598425195,4.82350479639995))!#15241=CARTESIAN_POINT('',(0.176181102362193,2.99212598425195,4.82350479639995))!#15242=CARTESIAN_POINT('',(-0.254921259842531,2.99212598425195,4.82350479639995))!#15243=CARTESIAN_POINT('Origin',(0.176181102362193,2.99212598425195,4.82350479639995))!#15244=CARTESIAN_POINT('',(0.391732283464555,2.99212598425195,5.19685039370072))!#15245=CARTESIAN_POINT('',(0.153665300215751,1.41732283464567,4.78450628310914))!#15246=CARTESIAN_POINT('Origin',(0.391732283464555,2.99212598425195,5.19685039370072))!#15247=CARTESIAN_POINT('',(0.176181102362192,2.99212598425195,5.57019599100149))!#15248=CARTESIAN_POINT('',(0.414248085610997,1.41732283464567,5.15785188040991))!#15249=CARTESIAN_POINT('Origin',(-0.0393700787401694,2.99212598425195,5.19685039370072))!#15250=CARTESIAN_POINT('Origin',(-0.470472440944894,2.99212598425195,5.19685039370072))!#15251=CARTESIAN_POINT('',(-0.34018104824727,1.41732283464567,4.97117908175953))!#15252=CARTESIAN_POINT('',(-0.470472440944894,2.99212598425195,5.19685039370072))!#15253=CARTESIAN_POINT('Origin',(-0.254921259842532,2.99212598425195,5.57019599100149))!#15254=CARTESIAN_POINT('',(-0.385212652540155,1.41732283464567,5.3445246790603))!#15255=CARTESIAN_POINT('',(-0.254921259842532,2.99212598425195,5.57019599100149))!#15256=CARTESIAN_POINT('Origin',(0.176181102362192,2.99212598425195,5.57019599100149))!#15257=CARTESIAN_POINT('Origin',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15258=CARTESIAN_POINT('',(0.177165354330694,1.41732283464567,5.71546648004786))!#15259=CARTESIAN_POINT('',(0.177165354330696,1.41732283464567,4.98175256898194))!#15260=CARTESIAN_POINT('',(0.354330708661403,1.41732283464567,5.71546648004786))!#15261=CARTESIAN_POINT('',(-0.0393700787401717,1.41732283464567,5.71546648004786))!#15262=CARTESIAN_POINT('',(0.354330708661405,1.41732283464567,4.84395729339139))!#15263=CARTESIAN_POINT('Origin',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15264=CARTESIAN_POINT('',(-0.433070866141747,1.41732283464567,5.71546648004786))!#15265=CARTESIAN_POINT('',(-0.433070866141744,1.41732283464567,4.84395729339139))!#15266=CARTESIAN_POINT('',(-0.255905511811038,1.41732283464567,5.71546648004786))!#15267=CARTESIAN_POINT('',(-0.0393700787401717,1.41732283464567,5.71546648004786))!#15268=CARTESIAN_POINT('',(-0.255905511811037,1.41732283464567,5.27971188671962))!#15269=CARTESIAN_POINT('Origin',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15270=CARTESIAN_POINT('',(-0.433070866141744,1.41732283464567,4.84395729339139))!#15271=CARTESIAN_POINT('',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15272=CARTESIAN_POINT('',(-0.433070866141744,1.41732283464567,4.84395729339139))!#15273=CARTESIAN_POINT('Origin',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15274=CARTESIAN_POINT('',(0.354330708661405,1.41732283464567,4.84395729339139))!#15275=CARTESIAN_POINT('',(0.354330708661405,1.41732283464567,4.84395729339139))!#15276=CARTESIAN_POINT('',(-0.0393700787401696,1.41732283464567,4.84395729339139))!#15277=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972689E-18))!#15278=CARTESIAN_POINT('',(-0.31496062992126,0.787401574803149,4.83791631912631))!#15279=CARTESIAN_POINT('',(-0.314960629921271,1.2471498849789,4.7402587181786))!#15280=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15281=CARTESIAN_POINT('',(-0.433070866141744,0.787401574803149,4.8379163191263))!#15282=CARTESIAN_POINT('',(-0.0393700787401695,0.787401574803149,4.83791631912631))!#15283=CARTESIAN_POINT('',(-0.433070866141744,1.2471498849789,4.7402587181786))!#15284=CARTESIAN_POINT('Origin',(-0.433070866141732,-3.50244178141059E-18, -9.87360427289676E-16))!#15285=CARTESIAN_POINT('',(-0.0393700787401693,1.2471498849789,4.7402587181786))!#15286=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972689E-18))!#15287=CARTESIAN_POINT('',(0.236220472440943,0.787401574803149,4.83791631912631))!#15288=CARTESIAN_POINT('',(0.236220472440932,1.2471498849789,4.7402587181786))!#15289=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15290=CARTESIAN_POINT('',(0.354330708661405,1.2471498849789,4.7402587181786))!#15291=CARTESIAN_POINT('',(-0.0393700787401693,1.2471498849789,4.7402587181786))!#15292=CARTESIAN_POINT('',(0.354330708661405,0.787401574803149,4.83791631912631))!#15293=CARTESIAN_POINT('Origin',(0.354330708661417,-1.79568766084738E-18, 9.69876600130222E-16))!#15294=CARTESIAN_POINT('',(-0.0393700787401695,0.787401574803149,4.83791631912631))!#15295=CARTESIAN_POINT('Origin',(-0.0393700787401702,1.41732283464567,5.11954784457249))!#15296=CARTESIAN_POINT('',(0.177165354330696,0.541458631261433,5.11954784457249))!#15297=CARTESIAN_POINT('',(-0.255905511811036,0.541458631261433,5.11954784457249))!#15298=CARTESIAN_POINT('Ctrl Pts',(0.177165354330696,0.541458631261433, 5.11954784457249))!#15299=CARTESIAN_POINT('Ctrl Pts',(0.177165354330696,0.548651073017887, 5.10112269543113))!#15300=CARTESIAN_POINT('Ctrl Pts',(0.174727690232349,0.557132301023746, 5.08331678518848))!#15301=CARTESIAN_POINT('Ctrl Pts',(0.165227014798922,0.578365325193485, 5.04489099001678))!#15302=CARTESIAN_POINT('Ctrl Pts',(0.155570827623823,0.593652323058911, 5.0223785765916))!#15303=CARTESIAN_POINT('Ctrl Pts',(0.130081139106814,0.626175783918568, 4.9826898600511))!#15304=CARTESIAN_POINT('Ctrl Pts',(0.114283014053061,0.643379814186976, 4.96546428922049))!#15305=CARTESIAN_POINT('Ctrl Pts',(0.0806689885958654,0.674595567884101, 4.9380079538737))!#15306=CARTESIAN_POINT('Ctrl Pts',(0.0599354031084226,0.691411288367447, 4.92553164901013))!#15307=CARTESIAN_POINT('Ctrl Pts',(0.0250712504171598,0.711010587637476, 4.91245130712694))!#15308=CARTESIAN_POINT('Ctrl Pts',(0.0128077386334616,0.716579626195641, 4.9089939335454))!#15309=CARTESIAN_POINT('Ctrl Pts',(-0.0126725623567184,0.724369657053895, 4.90427425904087))!#15310=CARTESIAN_POINT('Ctrl Pts',(-0.0258975015035736,0.726564241999816, 4.90301241150162))!#15311=CARTESIAN_POINT('Ctrl Pts',(-0.0393700787401697,0.726564241999816, 4.90301241150162))!#15312=CARTESIAN_POINT('Ctrl Pts',(-0.0528426559767659,0.726564241999816, 4.90301241150162))!#15313=CARTESIAN_POINT('Ctrl Pts',(-0.066067595123621,0.724369657053895, 4.90427425904087))!#15314=CARTESIAN_POINT('Ctrl Pts',(-0.091547896113801,0.716579626195641, 4.9089939335454))!#15315=CARTESIAN_POINT('Ctrl Pts',(-0.103811407897499,0.711010587637476, 4.91245130712694))!#15316=CARTESIAN_POINT('Ctrl Pts',(-0.138675560588762,0.691411288367447, 4.92553164901013))!#15317=CARTESIAN_POINT('Ctrl Pts',(-0.159409146076205,0.674595567884101, 4.9380079538737))!#15318=CARTESIAN_POINT('Ctrl Pts',(-0.193023171533401,0.643379814186976, 4.96546428922049))!#15319=CARTESIAN_POINT('Ctrl Pts',(-0.208821296587153,0.626175783918568, 4.9826898600511))!#15320=CARTESIAN_POINT('Ctrl Pts',(-0.234310985104162,0.593652323058911, 5.0223785765916))!#15321=CARTESIAN_POINT('Ctrl Pts',(-0.243967172279262,0.578365325193485, 5.04489099001678))!#15322=CARTESIAN_POINT('Ctrl Pts',(-0.253467847712679,0.557132301023768, 5.08331678518844))!#15323=CARTESIAN_POINT('Ctrl Pts',(-0.255905511811036,0.548651073017796, 5.10112269543137))!#15324=CARTESIAN_POINT('Ctrl Pts',(-0.255905511811036,0.541458631261433, 5.11954784457249))!#15325=CARTESIAN_POINT('',(0.177165354330696,1.41732283464567,5.11954784457249))!#15326=CARTESIAN_POINT('',(-0.255905511811036,1.41732283464567,5.11954784457249))!#15327=CARTESIAN_POINT('Origin',(-0.255905511811038,1.41732283464567,5.71546648004786))!#15328=CARTESIAN_POINT('',(-0.255905511811038,0.944881889763779,5.71009902567485))!#15329=CARTESIAN_POINT('Origin',(-0.255905511811037,0.944881889763779,5.27702815953312))!#15330=CARTESIAN_POINT('',(-0.255905511811038,1.41732283464567,5.71546648004786))!#15331=CARTESIAN_POINT('Origin',(0.177165354330696,1.41732283464567,5.11954784457249))!#15332=CARTESIAN_POINT('',(0.177165354330694,0.944881889763779,5.71009902567485))!#15333=CARTESIAN_POINT('Origin',(0.177165354330696,0.944881889763779,5.27702815953312))!#15334=CARTESIAN_POINT('',(0.177165354330694,1.41393812241931,5.71542802595399))!#15335=CARTESIAN_POINT('Origin',(-0.0393700787401706,0.944881889763779, 5.27702815953312))!#15336=CARTESIAN_POINT('',(-0.433070866141747,0.944881889763779,5.71009902567485))!#15337=CARTESIAN_POINT('',(-0.0393700787401717,0.944881889763779,5.71009902567485))!#15338=CARTESIAN_POINT('',(-0.433070866141744,0.787401574803149,4.87360490103077))!#15339=CARTESIAN_POINT('Origin',(-0.433070866141745,0.944881889763779,5.27702815953312))!#15340=CARTESIAN_POINT('',(0.354330708661405,0.787401574803149,4.87360490103077))!#15341=CARTESIAN_POINT('',(-0.0393700787401696,0.787401574803149,4.87360490103077))!#15342=CARTESIAN_POINT('',(0.354330708661403,0.944881889763779,5.71009902567485))!#15343=CARTESIAN_POINT('Origin',(0.354330708661404,0.944881889763779,5.27702815953312))!#15344=CARTESIAN_POINT('',(-0.0393700787401717,0.944881889763779,5.71009902567485))!#15345=CARTESIAN_POINT('Origin',(-0.0393700787401717,1.41732283464567,5.71546648004786))!#15346=CARTESIAN_POINT('',(-0.433070866141747,1.41732283464567,5.71546648004786))!#15347=CARTESIAN_POINT('Origin',(-0.433070866141745,1.06363444191144,5.27696750467195))!#15348=CARTESIAN_POINT('Origin',(-0.433070866141744,1.41732283464567,4.65247815677856))!#15349=CARTESIAN_POINT('',(-0.433070866141744,0.787401574803149,4.87360490103077))!#15350=CARTESIAN_POINT('Origin',(-0.0393700787401717,1.41732283464567,5.71546648004786))!#15351=CARTESIAN_POINT('',(0.354330708661403,1.41732283464567,5.71546648004786))!#15352=CARTESIAN_POINT('Origin',(-0.0393700787401691,1.41732283464567,4.65247815677856))!#15353=CARTESIAN_POINT('Origin',(0.354330708661406,1.41732283464567,4.65247815677856))!#15354=CARTESIAN_POINT('',(-0.0393700787401692,1.2471498849789,4.7402587181786))!#15355=CARTESIAN_POINT('Origin',(-0.0393700787401696,0.787401574803149, 4.87360490103077))!#15356=CARTESIAN_POINT('',(-0.0393700787401585,0.787401574803149,4.83791631912631))!#15357=CARTESIAN_POINT('',(0.354330708661405,0.787401574803149,4.87360490103077))!#15358=CARTESIAN_POINT('Origin',(0.354330708661404,1.06363444191144,5.27696750467195))!#15359=CARTESIAN_POINT('Origin',(-0.314960629921272,-1.30918513719311,5.06179452711091))!#15360=CARTESIAN_POINT('',(-0.314960629921271,-2.17516986487799,4.39250174841146))!#15361=CARTESIAN_POINT('',(-0.314960629921272,-0.684690003303564,4.85351781188112))!#15362=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15363=CARTESIAN_POINT('',(-0.314960629921272,-2.21768554449242,4.79050971022895))!#15364=CARTESIAN_POINT('',(-0.314960629921271,-2.17516986487799,4.39250174841146))!#15365=CARTESIAN_POINT('',(-0.314960629921274,-1.01467923311538,5.66766956722246))!#15366=CARTESIAN_POINT('',(-0.314960629921272,-2.21768554449242,4.79050971022895))!#15367=CARTESIAN_POINT('Origin',(-0.314960629921273,-0.747934461440037, 5.30183471819945))!#15368=CARTESIAN_POINT('Origin',(-0.0393700787401694,-2.21768554449242, 4.79050971022895))!#15369=CARTESIAN_POINT('',(0.236220472440934,-2.17516986487799,4.39250174841146))!#15370=CARTESIAN_POINT('',(-0.0393700787401584,-2.17516986487799,4.39250174841146))!#15371=CARTESIAN_POINT('',(0.236220472440933,-2.21768554449242,4.79050971022895))!#15372=CARTESIAN_POINT('',(0.236220472440934,-2.17516986487799,4.39250174841146))!#15373=CARTESIAN_POINT('',(-0.0393700787401694,-2.21768554449242,4.79050971022895))!#15374=CARTESIAN_POINT('Origin',(-0.0393700787401716,-1.01467923311538, 5.66766956722246))!#15375=CARTESIAN_POINT('',(0.236220472440931,-1.01467923311538,5.66766956722247))!#15376=CARTESIAN_POINT('',(0.236220472440933,-2.21768554449242,4.79050971022895))!#15377=CARTESIAN_POINT('',(-0.0393700787401716,-1.01467923311538,5.66766956722246))!#15378=CARTESIAN_POINT('Origin',(-0.0393700787401707,-0.747934461440037, 5.30183471819945))!#15379=CARTESIAN_POINT('',(0.236220472440933,-0.684690003303564,4.85351781188112))!#15380=CARTESIAN_POINT('Origin',(0.236220472440932,-0.747934461440037,5.30183471819945))!#15381=CARTESIAN_POINT('',(-0.0393700787401706,-0.684690003303564,4.85351781188112))!#15382=CARTESIAN_POINT('Origin',(0.236220472440932,-1.30918513719311,5.06179452711091))!#15383=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15384=CARTESIAN_POINT('Origin',(-0.0393700787401576,-2.64906472112899E-18, -8.74191357972567E-18))!#15385=CARTESIAN_POINT('',(0.236220472440945,0.118110236220472,-4.90015158163205))!#15386=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15387=CARTESIAN_POINT('',(0.0393700787401695,0.118110236220472,-4.90015158163205))!#15388=CARTESIAN_POINT('',(-0.0393700787401567,0.118110236220472,-4.90015158163205))!#15389=CARTESIAN_POINT('',(0.039370078740168,1.51984251968504,-4.6599908010884))!#15390=CARTESIAN_POINT('Origin',(0.0393700787401687,-2.64906472112899E-18, 5.47221194546761E-18))!#15391=CARTESIAN_POINT('',(-0.118110236220462,1.51984251968504,-4.6599908010884))!#15392=CARTESIAN_POINT('',(-0.0393700787401568,1.51984251968504,-4.6599908010884))!#15393=CARTESIAN_POINT('',(-0.11811023622046,0.118110236220472,-4.90015158163205))!#15394=CARTESIAN_POINT('Origin',(-0.118110236220461,-2.64906472112898E-18, -2.29560391049148E-17))!#15395=CARTESIAN_POINT('',(-0.314960629921258,0.118110236220472,-4.90015158163205))!#15396=CARTESIAN_POINT('',(-0.0393700787401567,0.118110236220472,-4.90015158163205))!#15397=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15398=CARTESIAN_POINT('Origin',(-0.0393700787401576,-2.64906472112899E-18, -8.74191357972567E-18))!#15399=CARTESIAN_POINT('',(-0.31496062992126,0.62992125984252,4.86092941290753))!#15400=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15401=CARTESIAN_POINT('',(0.236220472440943,0.62992125984252,4.86092941290753))!#15402=CARTESIAN_POINT('',(-0.0393700787401585,0.62992125984252,4.86092941290753))!#15403=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15404=CARTESIAN_POINT('Origin',(-0.0393700787401576,-2.64906472112899E-18, -8.74191357972567E-18))!#15405=CARTESIAN_POINT('',(-0.314960629921247,-1.51574803149606,-4.66132421698887))!#15406=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15407=CARTESIAN_POINT('',(0.236220472440957,-1.51574803149606,-4.66132421698887))!#15408=CARTESIAN_POINT('',(-0.0393700787401568,-1.51574803149606,-4.66132421698887))!#15409=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15410=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972689E-18))!#15411=CARTESIAN_POINT('',(-0.314960629921258,-0.118110236220473,-4.90015158163205))!#15412=CARTESIAN_POINT('',(0.236220472440945,-0.118110236220473,-4.90015158163205))!#15413=CARTESIAN_POINT('',(-0.0393700787401567,-0.118110236220473,-4.90015158163205))!#15414=CARTESIAN_POINT('',(0.236220472440957,7.01856014383949E-15,-4.90157480314961))!#15415=CARTESIAN_POINT('Origin',(0.236220472440945,1.91336612687589E-16, 6.76291046017238E-16))!#15416=CARTESIAN_POINT('',(0.0393700787401696,6.87999894569041E-15,-4.90157480314961))!#15417=CARTESIAN_POINT('',(-0.0393700787401453,6.82457446643077E-15,-4.90157480314961))!#15418=CARTESIAN_POINT('Origin',(0.0393700787401574,5.27754145385048E-17, 1.86981789162263E-16))!#15419=CARTESIAN_POINT('',(-0.0393700787401567,0.118110236220472,-4.90015158163205))!#15420=CARTESIAN_POINT('',(-0.11811023622046,6.76914998717114E-15,-4.90157480314961))!#15421=CARTESIAN_POINT('Origin',(-0.118110236220472,-5.80735439807628E-17, -2.04465616321717E-16))!#15422=CARTESIAN_POINT('',(-0.314960629921248,6.63058878902206E-15,-4.90157480314961))!#15423=CARTESIAN_POINT('',(-0.0393700787401453,6.82457446643077E-15,-4.90157480314961))!#15424=CARTESIAN_POINT('Origin',(-0.31496062992126,-1.96634742129847E-16, -6.93774873176691E-16))!#15425=CARTESIAN_POINT('Origin',(-0.314960629921247,-0.569661129893363, -5.1917434347208))!#15426=CARTESIAN_POINT('',(-0.314960629921245,-0.226377952755899,-5.74642882454807))!#15427=CARTESIAN_POINT('Origin',(-0.314960629921247,7.26121586218066E-15, -5.35433070866142))!#15428=CARTESIAN_POINT('',(-0.314960629921246,-1.51574803149606,-5.00201066250239))!#15429=CARTESIAN_POINT('',(-0.314960629921246,-1.51574803149606,-5.00201066250239))!#15430=CARTESIAN_POINT('',(-0.314960629921247,-1.51574803149606,-4.66132421698887))!#15431=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15432=CARTESIAN_POINT('Origin',(0.236220472440958,-0.569661129893362,-5.1917434347208))!#15433=CARTESIAN_POINT('',(0.236220472440959,-0.226377952755898,-5.74642882454807))!#15434=CARTESIAN_POINT('Origin',(0.236220472440958,7.6491872169981E-15, -5.35433070866142))!#15435=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15436=CARTESIAN_POINT('',(0.236220472440958,-1.51574803149606,-5.00201066250239))!#15437=CARTESIAN_POINT('',(0.236220472440957,-1.51574803149606,-4.66132421698887))!#15438=CARTESIAN_POINT('',(0.236220472440958,-1.51574803149606,-5.00201066250239))!#15439=CARTESIAN_POINT('Origin',(0.0393700787401697,0.772009585577436,-5.13696528047692))!#15440=CARTESIAN_POINT('',(0.0393700787401716,0.225839973577634,-5.74673893527024))!#15441=CARTESIAN_POINT('Origin',(0.0393700787401708,7.51062601884902E-15, -5.35433070866142))!#15442=CARTESIAN_POINT('',(0.0393700787401688,1.51984251968505,-5.00201066250239))!#15443=CARTESIAN_POINT('',(0.0393700787401688,1.51984251968505,-5.00201066250239))!#15444=CARTESIAN_POINT('',(0.039370078740168,1.51984251968504,-4.6599908010884))!#15445=CARTESIAN_POINT('Origin',(-0.0393700787401462,1.51984251968505,-5.00201066250239))!#15446=CARTESIAN_POINT('',(-0.118110236220458,0.225839973577634,-5.74673893527024))!#15447=CARTESIAN_POINT('',(-0.0393700787401434,0.225839973577633,-5.74673893527024))!#15448=CARTESIAN_POINT('',(-0.118110236220461,1.51984251968505,-5.00201066250239))!#15449=CARTESIAN_POINT('',(-0.118110236220461,1.51984251968505,-5.00201066250239))!#15450=CARTESIAN_POINT('',(-0.0393700787401462,1.51984251968505,-5.00201066250239))!#15451=CARTESIAN_POINT('Origin',(-0.039370078740147,1.51984251968504,-4.6599908010884))!#15452=CARTESIAN_POINT('',(-0.118110236220462,1.51984251968504,-4.6599908010884))!#15453=CARTESIAN_POINT('Origin',(-0.11811023622046,0.772009585577436,-5.13696528047692))!#15454=CARTESIAN_POINT('Origin',(-0.118110236220459,7.39977706032975E-15, -5.35433070866142))!#15455=CARTESIAN_POINT('Origin',(-0.0393700787401442,7.45520153958938E-15, -5.35433070866142))!#15456=CARTESIAN_POINT('',(-0.0393700787401431,-0.226377952755898,-5.74642882454807))!#15457=CARTESIAN_POINT('Origin',(-0.039370078740144,-1.51574803149606,-5.00201066250239))!#15458=CARTESIAN_POINT('',(-0.039370078740144,-1.51574803149606,-5.00201066250239))!#15459=CARTESIAN_POINT('Origin',(-0.0393700787401431,-0.226377952755898, -5.74642882454807))!#15460=CARTESIAN_POINT('Origin',(-0.0393700787401576,-2.64906472112899E-18, -8.74191357972567E-18))!#15461=CARTESIAN_POINT('',(0.236220472440943,-0.629921259842519,4.86092941290753))!#15462=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15463=CARTESIAN_POINT('',(-0.31496062992126,-0.629921259842519,4.86092941290753))!#15464=CARTESIAN_POINT('',(-0.0393700787401585,-0.629921259842519,4.86092941290753))!#15465=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15466=CARTESIAN_POINT('Origin',(-0.0393700787401586,-0.629921259842519, 5.90551181102362))!#15467=CARTESIAN_POINT('',(0.167004444828516,-0.629921259842519,4.68222637710819))!#15468=CARTESIAN_POINT('',(0.231467004127697,-0.629921259842519,4.50345830481945))!#15469=CARTESIAN_POINT('Ctrl Pts',(0.167004444828515,-0.629921259842519, 4.68222637710819))!#15470=CARTESIAN_POINT('Ctrl Pts',(0.199862996589213,-0.629921259842519, 4.59113491520288))!#15471=CARTESIAN_POINT('Ctrl Pts',(0.231467004127697,-0.629921259842519, 4.50345830481945))!#15472=CARTESIAN_POINT('',(-6.79721437091148E-16,-0.629921259842519,4.68222637710819))!#15473=CARTESIAN_POINT('',(0.122047244094488,-0.629921259842519,4.68222637710819))!#15474=CARTESIAN_POINT('',(-9.96412233405453E-16,-0.629921259842519,4.68130957505025))!#15475=CARTESIAN_POINT('',(-2.9503958331574E-16,-0.629921259842519,2.95275590551181))!#15476=CARTESIAN_POINT('',(-0.000330708661418431,-0.629921259842519,4.68222637710819))!#15477=CARTESIAN_POINT('',(-0.000165354330709735,-0.629921259842519,4.68176797607922))!#15478=CARTESIAN_POINT('',(-0.394031496062993,-0.629921259842519,4.68222637710819))!#15479=CARTESIAN_POINT('',(-0.197181102362206,-0.629921259842519,4.68222637710819))!#15480=CARTESIAN_POINT('',(-0.458494055362174,-0.629921259842519,4.50345830481945))!#15481=CARTESIAN_POINT('Ctrl Pts',(-0.458494055362174,-0.629921259842519, 4.50345830481945))!#15482=CARTESIAN_POINT('Ctrl Pts',(-0.426890047787775,-0.629921259842519, 4.59113491530254))!#15483=CARTESIAN_POINT('Ctrl Pts',(-0.394031496062993,-0.629921259842519, 4.68222637710819))!#15484=CARTESIAN_POINT('',(-0.523887971692577,-0.629921259842519,4.50345830481945))!#15485=CARTESIAN_POINT('',(-0.0393700787401583,-0.629921259842519,4.50345830481945))!#15486=CARTESIAN_POINT('',(-0.426296239105376,-0.629921259842519,4.78157638174435))!#15487=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105376,-0.629921259842519, 4.78157638174435))!#15488=CARTESIAN_POINT('Ctrl Pts',(-0.476553706060625,-0.629921259842519, 4.63842742028024))!#15489=CARTESIAN_POINT('Ctrl Pts',(-0.523887971692577,-0.629921259842519, 4.50345830481945))!#15490=CARTESIAN_POINT('Ctrl Pts',(-0.31496062992126,-0.629921259842519, 4.86092941290753))!#15491=CARTESIAN_POINT('Ctrl Pts',(-0.329497690810942,-0.629921259842519, 4.86092941290753))!#15492=CARTESIAN_POINT('Ctrl Pts',(-0.34660297332666,-0.629921259842519, 4.85750611761435))!#15493=CARTESIAN_POINT('Ctrl Pts',(-0.373502144342997,-0.629921259842519, 4.8463100517399))!#15494=CARTESIAN_POINT('Ctrl Pts',(-0.388040304516738,-0.629921259842519, 4.83653480330018))!#15495=CARTESIAN_POINT('Ctrl Pts',(-0.40868846171904,-0.629921259842519, 4.81578117921893))!#15496=CARTESIAN_POINT('Ctrl Pts',(-0.418429774665118,-0.629921259842519, 4.80110874772416))!#15497=CARTESIAN_POINT('Ctrl Pts',(-0.424814985361965,-0.629921259842519, 4.78561665168858))!#15498=CARTESIAN_POINT('Ctrl Pts',(-0.42557734970923,-0.629921259842519, 4.78362400325615))!#15499=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105375,-0.629921259842519, 4.78157638174435))!#15500=CARTESIAN_POINT('',(0.347556081625059,-0.629921259842519,4.78157638174435))!#15501=CARTESIAN_POINT('Ctrl Pts',(0.347556081625059,-0.629921259842519, 4.78157638174435))!#15502=CARTESIAN_POINT('Ctrl Pts',(0.346837192228913,-0.629921259842519, 4.78362400325615))!#15503=CARTESIAN_POINT('Ctrl Pts',(0.346074827881648,-0.629921259842519, 4.78561665168858))!#15504=CARTESIAN_POINT('Ctrl Pts',(0.339689617184801,-0.629921259842519, 4.80110874772416))!#15505=CARTESIAN_POINT('Ctrl Pts',(0.329948304238723,-0.629921259842519, 4.81578117921893))!#15506=CARTESIAN_POINT('Ctrl Pts',(0.309300147036421,-0.629921259842519, 4.83653480330018))!#15507=CARTESIAN_POINT('Ctrl Pts',(0.29476198686268,-0.629921259842519, 4.8463100517399))!#15508=CARTESIAN_POINT('Ctrl Pts',(0.267862815846343,-0.629921259842519, 4.85750611761435))!#15509=CARTESIAN_POINT('Ctrl Pts',(0.250757533330625,-0.629921259842519, 4.86092941290753))!#15510=CARTESIAN_POINT('Ctrl Pts',(0.236220472440943,-0.629921259842519, 4.86092941290753))!#15511=CARTESIAN_POINT('',(0.445147814212261,-0.629921259842519,4.50345830481945))!#15512=CARTESIAN_POINT('Ctrl Pts',(0.445147814212261,-0.629921259842519, 4.50345830481945))!#15513=CARTESIAN_POINT('Ctrl Pts',(0.39781354858579,-0.629921259842519, 4.63842742026462))!#15514=CARTESIAN_POINT('Ctrl Pts',(0.347556081625059,-0.629921259842519, 4.78157638174435))!#15515=CARTESIAN_POINT('',(-0.0393700787401583,-0.629921259842519,4.50345830481945))!#15516=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972565E-18))!#15517=CARTESIAN_POINT('',(-0.458494055362172,-0.118110236220473,-4.54576588364918))!#15518=CARTESIAN_POINT('',(-0.458494055362173,-4.54730002274414,-6.58689773144999E-16))!#15519=CARTESIAN_POINT('Origin',(-0.458494055362173,-2.64906472112897E-18, -8.44019199216231E-17))!#15520=CARTESIAN_POINT('',(-0.523887971692576,-0.118110236220473,-4.54576588364918))!#15521=CARTESIAN_POINT('',(-0.0393700787401567,-0.118110236220473,-4.54576588364918))!#15522=CARTESIAN_POINT('Origin',(-0.523887971692577,-2.64906472112897E-18, -9.62067900799866E-17))!#15523=CARTESIAN_POINT('Origin',(-0.458494055362173,-2.64906472112897E-18, -8.44019199216231E-17))!#15524=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972565E-18))!#15525=CARTESIAN_POINT('',(0.231467004127698,-4.54730002274414,6.08279641246345E-16))!#15526=CARTESIAN_POINT('Origin',(0.231467004127698,-2.649064721129E-18, 4.01494325383303E-17))!#15527=CARTESIAN_POINT('',(0.445147814212262,-0.118110236220473,-4.54576588364918))!#15528=CARTESIAN_POINT('Origin',(0.445147814212262,-2.64906472112901E-18, 7.87229629205353E-17))!#15529=CARTESIAN_POINT('',(0.231467004127699,-0.118110236220473,-4.54576588364918))!#15530=CARTESIAN_POINT('',(-0.0393700787401567,-0.118110236220473,-4.54576588364918))!#15531=CARTESIAN_POINT('Origin',(0.231467004127698,-2.649064721129E-18, 4.01494325383303E-17))!#15532=CARTESIAN_POINT('Origin',(-0.0393700787401568,-0.118110236220473, -3.93700787401575))!#15533=CARTESIAN_POINT('',(0.167004444828518,-0.118110236220473,-4.72293284010997))!#15534=CARTESIAN_POINT('Ctrl Pts',(0.231467004127699,-0.118110236220473, -4.54576588364918))!#15535=CARTESIAN_POINT('Ctrl Pts',(0.199851803735533,-0.118110236220473, -4.63265724769325))!#15536=CARTESIAN_POINT('Ctrl Pts',(0.167004444828517,-0.118110236220473, -4.72293284010997))!#15537=CARTESIAN_POINT('',(0.347556081625061,-0.118110236220473,-4.82144412600177))!#15538=CARTESIAN_POINT('Ctrl Pts',(0.347556081625061,-0.118110236220473, -4.82144412600177))!#15539=CARTESIAN_POINT('Ctrl Pts',(0.397788016789935,-0.118110236220473, -4.67955096140626))!#15540=CARTESIAN_POINT('Ctrl Pts',(0.445147814212262,-0.118110236220473, -4.54576588364918))!#15541=CARTESIAN_POINT('Ctrl Pts',(0.236220472440945,-0.118110236220473, -4.90015158163205))!#15542=CARTESIAN_POINT('Ctrl Pts',(0.250795503617234,-0.118110236220473, -4.90015158163205))!#15543=CARTESIAN_POINT('Ctrl Pts',(0.267949335697773,-0.118110236220473, -4.89673874503912))!#15544=CARTESIAN_POINT('Ctrl Pts',(0.294881813976829,-0.118110236220473, -4.88558106259103))!#15545=CARTESIAN_POINT('Ctrl Pts',(0.309425424204682,-0.118110236220473, -4.87586120527546))!#15546=CARTESIAN_POINT('Ctrl Pts',(0.330040757487483,-0.118110236220473, -4.85524220856106))!#15547=CARTESIAN_POINT('Ctrl Pts',(0.339759435883575,-0.118110236220473, -4.84069393495637))!#15548=CARTESIAN_POINT('Ctrl Pts',(0.346110326980221,-0.118110236220473, -4.82535887050598))!#15549=CARTESIAN_POINT('Ctrl Pts',(0.346853822861468,-0.118110236220473, -4.82342783851462))!#15550=CARTESIAN_POINT('Ctrl Pts',(0.34755608162506,-0.118110236220473, -4.82144412600177))!#15551=CARTESIAN_POINT('',(-0.426296239105374,-0.118110236220473,-4.82144412600177))!#15552=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105374,-0.118110236220473, -4.82144412600177))!#15553=CARTESIAN_POINT('Ctrl Pts',(-0.425593980341781,-0.118110236220473, -4.82342783851462))!#15554=CARTESIAN_POINT('Ctrl Pts',(-0.424850484460534,-0.118110236220473, -4.82535887050598))!#15555=CARTESIAN_POINT('Ctrl Pts',(-0.418499593363888,-0.118110236220473, -4.84069393495637))!#15556=CARTESIAN_POINT('Ctrl Pts',(-0.408780914967796,-0.118110236220473, -4.85524220856106))!#15557=CARTESIAN_POINT('Ctrl Pts',(-0.388165581684996,-0.118110236220473, -4.87586120527546))!#15558=CARTESIAN_POINT('Ctrl Pts',(-0.373621971457143,-0.118110236220473, -4.88558106259103))!#15559=CARTESIAN_POINT('Ctrl Pts',(-0.346689493178086,-0.118110236220473, -4.89673874503912))!#15560=CARTESIAN_POINT('Ctrl Pts',(-0.329535661097548,-0.118110236220473, -4.90015158163205))!#15561=CARTESIAN_POINT('Ctrl Pts',(-0.314960629921258,-0.118110236220473, -4.90015158163205))!#15562=CARTESIAN_POINT('Ctrl Pts',(-0.523887971692576,-0.118110236220473, -4.54576588364918))!#15563=CARTESIAN_POINT('Ctrl Pts',(-0.476528174272095,-0.118110236220473, -4.67955096140104))!#15564=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105374,-0.118110236220473, -4.82144412600177))!#15565=CARTESIAN_POINT('',(-0.394031496062991,-0.118110236220473,-4.72293284010997))!#15566=CARTESIAN_POINT('Ctrl Pts',(-0.394031496062991,-0.118110236220473, -4.72293284010997))!#15567=CARTESIAN_POINT('Ctrl Pts',(-0.426878861953257,-0.118110236220473, -4.6326572284999))!#15568=CARTESIAN_POINT('Ctrl Pts',(-0.458494055362172,-0.118110236220473, -4.54576588364918))!#15569=CARTESIAN_POINT('',(-0.000330708661416343,-0.118110236220473,-4.72293284010997))!#15570=CARTESIAN_POINT('',(-0.197181102362204,-0.118110236220473,-4.72293284010997))!#15571=CARTESIAN_POINT('',(1.05520538559015E-15,-0.118110236220473,-4.72202394140041))!#15572=CARTESIAN_POINT('',(-0.000165354330707647,-0.118110236220473,-4.72247839075519))!#15573=CARTESIAN_POINT('',(1.37230156891009E-15,-0.118110236220473,-4.72293284010997))!#15574=CARTESIAN_POINT('',(7.62185590232329E-16,-0.118110236220473,-1.96850393700787))!#15575=CARTESIAN_POINT('',(0.12204724409449,-0.118110236220473,-4.72293284010997))!#15576=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15577=CARTESIAN_POINT('Origin',(0.34755608162506,-2.649064721129E-18,6.11057624779E-17))!#15578=CARTESIAN_POINT('Origin',(0.396351947918661,-2.64906472112901E-18, 6.99143626992177E-17))!#15579=CARTESIAN_POINT('Origin',(-0.475092105398976,-2.64906472112897E-18, -8.7398189858669E-17))!#15580=CARTESIAN_POINT('Origin',(-0.426296239105375,-2.64906472112897E-18, -7.85895896373513E-17))!#15581=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15582=CARTESIAN_POINT('Origin',(-0.0393700787401565,0.118110236220472, -5.90551181102362))!#15583=CARTESIAN_POINT('',(0.167004444828518,0.118110236220472,-4.72293284010997))!#15584=CARTESIAN_POINT('',(0.231467004127699,0.118110236220472,-4.54576588364918))!#15585=CARTESIAN_POINT('Ctrl Pts',(0.167004444828517,0.118110236220472, -4.72293284010997))!#15586=CARTESIAN_POINT('Ctrl Pts',(0.199851803735765,0.118110236220472, -4.63265724769261))!#15587=CARTESIAN_POINT('Ctrl Pts',(0.231467004127699,0.118110236220472, -4.54576588364918))!#15588=CARTESIAN_POINT('',(1.35889067875937E-15,0.118110236220472,-4.72293284010997))!#15589=CARTESIAN_POINT('',(0.12204724409449,0.118110236220472,-4.72293284010997))!#15590=CARTESIAN_POINT('',(1.04179449543944E-15,0.118110236220472,-4.72202394140041))!#15591=CARTESIAN_POINT('',(9.64342341763485E-16,0.118110236220472,-2.95275590551181))!#15592=CARTESIAN_POINT('',(-0.000330708661416343,0.118110236220472,-4.72293284010997))!#15593=CARTESIAN_POINT('',(-0.000165354330707647,0.118110236220472,-4.72247839075519))!#15594=CARTESIAN_POINT('',(-0.394031496062991,0.118110236220472,-4.72293284010997))!#15595=CARTESIAN_POINT('',(-0.197181102362204,0.118110236220472,-4.72293284010997))!#15596=CARTESIAN_POINT('',(-0.458494055362172,0.118110236220472,-4.54576588364918))!#15597=CARTESIAN_POINT('Ctrl Pts',(-0.458494055362172,0.118110236220472, -4.54576588364918))!#15598=CARTESIAN_POINT('Ctrl Pts',(-0.42687886195375,0.118110236220472, -4.63265722849855))!#15599=CARTESIAN_POINT('Ctrl Pts',(-0.394031496062991,0.118110236220472, -4.72293284010997))!#15600=CARTESIAN_POINT('',(-0.523887971692576,0.118110236220472,-4.54576588364918))!#15601=CARTESIAN_POINT('',(-0.0393700787401567,0.118110236220472,-4.54576588364918))!#15602=CARTESIAN_POINT('',(-0.426296239105374,0.118110236220472,-4.82144412600177))!#15603=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105374,0.118110236220472, -4.82144412600177))!#15604=CARTESIAN_POINT('Ctrl Pts',(-0.476528174272095,0.118110236220472, -4.67955096140104))!#15605=CARTESIAN_POINT('Ctrl Pts',(-0.523887971692576,0.118110236220472, -4.54576588364918))!#15606=CARTESIAN_POINT('Ctrl Pts',(-0.314960629921259,0.118110236220472, -4.90015158163205))!#15607=CARTESIAN_POINT('Ctrl Pts',(-0.329535661097548,0.118110236220472, -4.90015158163205))!#15608=CARTESIAN_POINT('Ctrl Pts',(-0.346689493178086,0.118110236220472, -4.89673874503912))!#15609=CARTESIAN_POINT('Ctrl Pts',(-0.373621971457143,0.118110236220472, -4.88558106259103))!#15610=CARTESIAN_POINT('Ctrl Pts',(-0.388165581684996,0.118110236220472, -4.87586120527546))!#15611=CARTESIAN_POINT('Ctrl Pts',(-0.408780914967796,0.118110236220472, -4.85524220856106))!#15612=CARTESIAN_POINT('Ctrl Pts',(-0.418499593363888,0.118110236220472, -4.84069393495637))!#15613=CARTESIAN_POINT('Ctrl Pts',(-0.424850484460534,0.118110236220472, -4.82535887050598))!#15614=CARTESIAN_POINT('Ctrl Pts',(-0.425593980341781,0.118110236220472, -4.82342783851462))!#15615=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105374,0.118110236220472, -4.82144412600177))!#15616=CARTESIAN_POINT('',(0.347556081625061,0.118110236220472,-4.82144412600177))!#15617=CARTESIAN_POINT('Ctrl Pts',(0.34755608162506,0.118110236220472,-4.82144412600177))!#15618=CARTESIAN_POINT('Ctrl Pts',(0.346853822861468,0.118110236220472, -4.82342783851462))!#15619=CARTESIAN_POINT('Ctrl Pts',(0.346110326980221,0.118110236220472, -4.82535887050599))!#15620=CARTESIAN_POINT('Ctrl Pts',(0.339759435883575,0.118110236220472, -4.84069393495637))!#15621=CARTESIAN_POINT('Ctrl Pts',(0.330040757487483,0.118110236220472, -4.85524220856106))!#15622=CARTESIAN_POINT('Ctrl Pts',(0.309425424204682,0.118110236220472, -4.87586120527546))!#15623=CARTESIAN_POINT('Ctrl Pts',(0.294881813976829,0.118110236220472, -4.88558106259103))!#15624=CARTESIAN_POINT('Ctrl Pts',(0.267949335697773,0.118110236220472, -4.89673874503912))!#15625=CARTESIAN_POINT('Ctrl Pts',(0.250795503617234,0.118110236220472, -4.90015158163205))!#15626=CARTESIAN_POINT('Ctrl Pts',(0.236220472440945,0.118110236220472, -4.90015158163205))!#15627=CARTESIAN_POINT('',(0.445147814212262,0.118110236220472,-4.54576588364918))!#15628=CARTESIAN_POINT('Ctrl Pts',(0.445147814212262,0.118110236220472, -4.54576588364918))!#15629=CARTESIAN_POINT('Ctrl Pts',(0.397788016789935,0.118110236220472, -4.67955096140626))!#15630=CARTESIAN_POINT('Ctrl Pts',(0.347556081625061,0.118110236220472, -4.82144412600177))!#15631=CARTESIAN_POINT('',(-0.0393700787401567,0.118110236220472,-4.54576588364918))!#15632=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972565E-18))!#15633=CARTESIAN_POINT('',(-0.458494055362174,0.62992125984252,4.50345830481945))!#15634=CARTESIAN_POINT('Origin',(-0.458494055362173,-2.64906472112897E-18, -8.44019199216231E-17))!#15635=CARTESIAN_POINT('',(-0.523887971692577,0.62992125984252,4.50345830481945))!#15636=CARTESIAN_POINT('',(-0.0393700787401583,0.62992125984252,4.50345830481945))!#15637=CARTESIAN_POINT('Origin',(-0.523887971692577,-2.64906472112897E-18, -9.62067900799866E-17))!#15638=CARTESIAN_POINT('Origin',(-0.0393700787401575,-2.64906472112899E-18, -8.74191357972565E-18))!#15639=CARTESIAN_POINT('',(0.231467004127697,0.62992125984252,4.50345830481945))!#15640=CARTESIAN_POINT('Origin',(0.231467004127698,-2.649064721129E-18, 4.01494325383303E-17))!#15641=CARTESIAN_POINT('',(0.445147814212261,0.62992125984252,4.50345830481945))!#15642=CARTESIAN_POINT('Origin',(0.445147814212262,-2.64906472112901E-18, 7.87229629205353E-17))!#15643=CARTESIAN_POINT('',(-0.0393700787401583,0.62992125984252,4.50345830481945))!#15644=CARTESIAN_POINT('Origin',(-0.0393700787401582,0.62992125984252,3.93700787401575))!#15645=CARTESIAN_POINT('',(0.167004444828515,0.62992125984252,4.68222637710819))!#15646=CARTESIAN_POINT('Ctrl Pts',(0.231467004127697,0.62992125984252,4.50345830481945))!#15647=CARTESIAN_POINT('Ctrl Pts',(0.19986299658891,0.62992125984252,4.59113491520372))!#15648=CARTESIAN_POINT('Ctrl Pts',(0.167004444828515,0.62992125984252,4.68222637710819))!#15649=CARTESIAN_POINT('',(0.347556081625059,0.62992125984252,4.78157638174435))!#15650=CARTESIAN_POINT('Ctrl Pts',(0.347556081625059,0.62992125984252,4.78157638174435))!#15651=CARTESIAN_POINT('Ctrl Pts',(0.39781354858579,0.62992125984252,4.63842742026462))!#15652=CARTESIAN_POINT('Ctrl Pts',(0.445147814212261,0.62992125984252,4.50345830481945))!#15653=CARTESIAN_POINT('Ctrl Pts',(0.236220472440943,0.62992125984252,4.86092941290753))!#15654=CARTESIAN_POINT('Ctrl Pts',(0.250757533330625,0.62992125984252,4.86092941290753))!#15655=CARTESIAN_POINT('Ctrl Pts',(0.267862815846343,0.62992125984252,4.85750611761435))!#15656=CARTESIAN_POINT('Ctrl Pts',(0.29476198686268,0.62992125984252,4.8463100517399))!#15657=CARTESIAN_POINT('Ctrl Pts',(0.309300147036421,0.62992125984252,4.83653480330018))!#15658=CARTESIAN_POINT('Ctrl Pts',(0.329948304238723,0.62992125984252,4.81578117921893))!#15659=CARTESIAN_POINT('Ctrl Pts',(0.339689617184801,0.62992125984252,4.80110874772416))!#15660=CARTESIAN_POINT('Ctrl Pts',(0.346074827881648,0.62992125984252,4.78561665168858))!#15661=CARTESIAN_POINT('Ctrl Pts',(0.346837192228913,0.62992125984252,4.78362400325615))!#15662=CARTESIAN_POINT('Ctrl Pts',(0.347556081625059,0.62992125984252,4.78157638174435))!#15663=CARTESIAN_POINT('',(-0.426296239105376,0.62992125984252,4.78157638174435))!#15664=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105375,0.62992125984252, 4.78157638174435))!#15665=CARTESIAN_POINT('Ctrl Pts',(-0.42557734970923,0.62992125984252,4.78362400325615))!#15666=CARTESIAN_POINT('Ctrl Pts',(-0.424814985361965,0.62992125984252, 4.78561665168858))!#15667=CARTESIAN_POINT('Ctrl Pts',(-0.418429774665118,0.62992125984252, 4.80110874772416))!#15668=CARTESIAN_POINT('Ctrl Pts',(-0.40868846171904,0.62992125984252,4.81578117921893))!#15669=CARTESIAN_POINT('Ctrl Pts',(-0.388040304516738,0.62992125984252, 4.83653480330018))!#15670=CARTESIAN_POINT('Ctrl Pts',(-0.373502144342997,0.62992125984252, 4.8463100517399))!#15671=CARTESIAN_POINT('Ctrl Pts',(-0.34660297332666,0.62992125984252,4.85750611761435))!#15672=CARTESIAN_POINT('Ctrl Pts',(-0.329497690810942,0.62992125984252, 4.86092941290753))!#15673=CARTESIAN_POINT('Ctrl Pts',(-0.31496062992126,0.62992125984252,4.86092941290753))!#15674=CARTESIAN_POINT('Ctrl Pts',(-0.523887971692577,0.62992125984252, 4.50345830481945))!#15675=CARTESIAN_POINT('Ctrl Pts',(-0.476553706060625,0.62992125984252, 4.63842742028024))!#15676=CARTESIAN_POINT('Ctrl Pts',(-0.426296239105376,0.62992125984252, 4.78157638174435))!#15677=CARTESIAN_POINT('',(-0.394031496062993,0.62992125984252,4.68222637710819))!#15678=CARTESIAN_POINT('Ctrl Pts',(-0.394031496062993,0.62992125984252, 4.68222637710819))!#15679=CARTESIAN_POINT('Ctrl Pts',(-0.426890047787699,0.62992125984252, 4.59113491530275))!#15680=CARTESIAN_POINT('Ctrl Pts',(-0.458494055362174,0.62992125984252, 4.50345830481945))!#15681=CARTESIAN_POINT('',(-0.000330708661418431,0.62992125984252,4.68222637710819))!#15682=CARTESIAN_POINT('',(-0.197181102362206,0.62992125984252,4.68222637710819))!#15683=CARTESIAN_POINT('',(-1.08250683684214E-15,0.62992125984252,4.68130957505025))!#15684=CARTESIAN_POINT('',(-0.000165354330709746,0.62992125984252,4.68176797607922))!#15685=CARTESIAN_POINT('',(-7.6581604052784E-16,0.62992125984252,4.68222637710819))!#15686=CARTESIAN_POINT('',(-1.61179031626192E-16,0.62992125984252,1.96850393700787))!#15687=CARTESIAN_POINT('',(0.122047244094487,0.62992125984252,4.68222637710819))!#15688=CARTESIAN_POINT('Origin',(-0.475092105398976,-2.64906472112897E-18, -8.7398189858669E-17))!#15689=CARTESIAN_POINT('Origin',(-0.426296239105375,-2.64906472112897E-18, -7.85895896373513E-17))!#15690=CARTESIAN_POINT('Origin',(0.396351947918661,-2.64906472112901E-18, 6.99143626992177E-17))!#15691=CARTESIAN_POINT('Origin',(0.34755608162506,-2.649064721129E-18,6.11057624779E-17))!#15692=CARTESIAN_POINT('Origin',(-0.314960629921259,-2.64906472112897E-18, -5.84913529178948E-17))!#15693=CARTESIAN_POINT('Origin',(0.236220472440944,-2.649064721129E-18, 4.10075257584435E-17))!#15694=CARTESIAN_POINT('Origin',(0.122047244094489,8.21210063549987E-18, 2.70999320971496E-17))!#15695=CARTESIAN_POINT('Origin',(0.167004444828517,1.12371018099007E-17, 3.70824359726722E-17))!#15696=CARTESIAN_POINT('Origin',(3.17887766535478E-16,2.13894737972336E-32, 7.05852635308708E-32))!#15697=CARTESIAN_POINT('Origin',(0.122047244094489,8.21210063549987E-18, 2.70999320971496E-17))!#15698=CARTESIAN_POINT('Origin',(3.17887766535478E-16,2.13894737972336E-32, 7.05852635308708E-32))!#15699=CARTESIAN_POINT('Origin',(0.167004444828517,1.12371018099007E-17, 3.70824359726722E-17))!#15700=CARTESIAN_POINT('Origin',(-0.433624439158817,0.,-9.62839672788577E-17))!#15701=CARTESIAN_POINT('',(-0.473217382254642,-4.50684801456783,-6.570050662148E-16))!#15702=CARTESIAN_POINT('',(-0.433624439158817,-4.61562873169337,-6.61535462510942E-16))!#15703=CARTESIAN_POINT('',(-0.473217382254642,4.50684801456783,-1.05075366686389E-16))!#15704=CARTESIAN_POINT('Origin',(-0.473217382254642,0.,-1.05075366686389E-16))!#15705=CARTESIAN_POINT('',(-0.473217382254643,-5.5192969952841E-16,4.50684801456783))!#15706=CARTESIAN_POINT('Origin',(-0.473217382254642,0.,-1.05075366686389E-16))!#15707=CARTESIAN_POINT('Origin',(-0.473217382254642,0.,-1.05075366686389E-16))!#15708=CARTESIAN_POINT('Origin',(-0.394031496062992,0.,-8.74925678713261E-17))!#15709=CARTESIAN_POINT('Origin',(-0.394031496062992,0.,-8.74925678713261E-17))!#15710=CARTESIAN_POINT('Origin',(0.,4.7244094488189,0.))!#15711=CARTESIAN_POINT('Origin',(0.,0.,0.))!#15712=CARTESIAN_POINT('Origin',(0.,4.7244094488189,0.))!#15713=CARTESIAN_POINT('Origin',(0.,0.,0.))!#15714=CARTESIAN_POINT('Origin',(0.137464566929133,0.,3.05232654549698E-17))!#15715=CARTESIAN_POINT('Origin',(-0.000330708661417391,0.,-7.34320740697106E-20))!#15716=CARTESIAN_POINT('Origin',(0.137464566929133,0.,3.05232654549698E-17))!#15717=CARTESIAN_POINT('Origin',(-0.000330708661417391,0.,-7.34320740697106E-20))!#15718=CARTESIAN_POINT('Origin',(-0.197181102362205,0.,-4.37829999726979E-17))!#15719=CARTESIAN_POINT('Origin',(-0.197181102362205,0.,-4.37829999726979E-17))!#15720=CARTESIAN_POINT('Origin',(0.624440895403595,4.20162823996739E-17, 1.38653731918924E-16))!#15721=CARTESIAN_POINT('',(0.402466260572278,4.28928658031677,8.93654618244262E-17))!#15722=CARTESIAN_POINT('',(0.402466260572277,-4.98205665143964E-16,4.28928658031677))!#15723=CARTESIAN_POINT('Origin',(0.402466260572278,2.70804429770988E-17, 8.93654618244262E-17))!#15724=CARTESIAN_POINT('',(0.624440895403594,-4.64235347169114E-16,4.13385826771654))!#15725=CARTESIAN_POINT('Origin',(0.624440895403594,-4.93164011715902E-16, 4.37007874015748))!#15726=CARTESIAN_POINT('',(0.624440895403594,4.13385826771654,1.38653731918924E-16))!#15727=CARTESIAN_POINT('Origin',(0.624440895403595,4.20162823996739E-17, 1.38653731918924E-16))!#15728=CARTESIAN_POINT('',(0.624440895403595,-4.13385826771654,-3.67597897649864E-16))!#15729=CARTESIAN_POINT('Origin',(0.624440895403595,4.20162823996739E-17, 1.38653731918924E-16))!#15730=CARTESIAN_POINT('Origin',(0.624440895403595,4.20162823996739E-17, 1.38653731918924E-16))!#15731=CARTESIAN_POINT('',(0.402466260572279,-4.28928658031677,-4.35920646296636E-16))!#15732=CARTESIAN_POINT('Origin',(0.402466260572278,2.70804429770988E-17, 8.93654618244262E-17))!#15733=CARTESIAN_POINT('Origin',(0.402466260572278,2.70804429770988E-17, 8.93654618244262E-17))!#15734=CARTESIAN_POINT('Origin',(0.323280374380627,2.17523221240493E-17, 7.17826630093626E-17))!#15735=CARTESIAN_POINT('',(0.387389856010316,-4.33070866141732,-4.44341022494669E-16))!#15736=CARTESIAN_POINT('',(0.323280374380628,-4.50684801456783,-4.80147036519047E-16))!#15737=CARTESIAN_POINT('Origin',(0.387389856010316,0.,8.60178275297755E-17))!#15738=CARTESIAN_POINT('Origin',(2.59842519685039,0.,5.76966296261892E-16))!#15739=CARTESIAN_POINT('',(2.59842519685039,-1.75492047244094,2.79654256470379))!#15740=CARTESIAN_POINT('',(2.59842519685039,-1.75492047244094,-2.79654256470378))!#15741=CARTESIAN_POINT('Origin',(2.59842519685039,-0.393700787401575,5.76966296261892E-16))!#15742=CARTESIAN_POINT('',(2.59842519685039,-2.29488029887759E-16,3.30157480314961))!#15743=CARTESIAN_POINT('Origin',(2.59842519685039,1.74838271594513E-16, 5.76966296261892E-16))!#15744=CARTESIAN_POINT('Origin',(2.59842519685039,1.74838271594513E-16, 5.76966296261892E-16))!#15745=CARTESIAN_POINT('Origin',(5.34163250983207,-0.393700787401575,1.18608068030037E-15))!#15746=CARTESIAN_POINT('',(5.44212598425197,3.34645669291339,1.20839471412548E-15))!#15747=CARTESIAN_POINT('',(5.44212598425197,-4.13385826771654,7.50357525468001E-16))!#15748=CARTESIAN_POINT('Origin',(5.44212598425197,-0.393700787401575,1.20839471412548E-15))!#15749=CARTESIAN_POINT('Origin',(5.44212598425197,-0.393700787401575,1.20839471412548E-15))!#15750=CARTESIAN_POINT('',(5.24113903541218,-3.58165116417413,7.73355323999746E-16))!#15751=CARTESIAN_POINT('',(5.34163250983207,-3.85775471594533,7.61856424733873E-16))!#15752=CARTESIAN_POINT('',(5.24113903541218,2.79424958937098,1.16376664647526E-15))!#15753=CARTESIAN_POINT('Origin',(5.24113903541218,-0.393700787401575,1.16376664647526E-15))!#15754=CARTESIAN_POINT('',(5.24113903541218,-0.393700787401575,3.18795037677256))!#15755=CARTESIAN_POINT('Origin',(5.24113903541218,-0.393700787401575,1.16376664647526E-15))!#15756=CARTESIAN_POINT('Origin',(5.24113903541218,-0.393700787401575,1.16376664647526E-15))!#15757=CARTESIAN_POINT('Origin',(5.13015171799652,-0.393700787401575,1.13912251142801E-15))!#15758=CARTESIAN_POINT('',(5.13015171799652,2.71653543307087,1.13912251142801E-15))!#15759=CARTESIAN_POINT('',(5.13015171799652,-0.393700787401575,3.11023622047244))!#15760=CARTESIAN_POINT('Origin',(5.13015171799652,-0.393700787401575,1.13912251142801E-15))!#15761=CARTESIAN_POINT('Origin',(5.13015171799652,-0.393700787401575,3.22834645669291))!#15762=CARTESIAN_POINT('',(5.13015171799652,-3.50393700787402,7.58228428228635E-16))!#15763=CARTESIAN_POINT('Origin',(5.13015171799652,-0.393700787401575,1.13912251142801E-15))!#15764=CARTESIAN_POINT('Origin',(5.13015171799652,-0.393700787401575,1.13912251142801E-15))!#15765=CARTESIAN_POINT('Origin',(3.85424908734472,-0.393700787401575,8.5581521588212E-16))!#15766=CARTESIAN_POINT('',(2.57834645669291,-3.50393700787402,1.91613837136859E-16))!#15767=CARTESIAN_POINT('',(3.85424908734472,-3.50393700787402,4.74921132682747E-16))!#15768=CARTESIAN_POINT('',(2.57834645669291,-3.32069175544051,-1.05180474431199))!#15769=CARTESIAN_POINT('Origin',(2.57834645669291,-0.393700787401575,5.72507920336232E-16))!#15770=CARTESIAN_POINT('Ctrl Pts',(2.5984251968504,-1.75492047244094,-2.79654256470378))!#15771=CARTESIAN_POINT('Ctrl Pts',(2.5984251968504,-1.90081424302684,-2.7255286366654))!#15772=CARTESIAN_POINT('Ctrl Pts',(2.59787888132804,-2.04120127934645,-2.64307355000435))!#15773=CARTESIAN_POINT('Ctrl Pts',(2.59499403951312,-2.45180713300931,-2.35626848808478))!#15774=CARTESIAN_POINT('Ctrl Pts',(2.59140041736829,-2.69549404600874,-2.1188538644222))!#15775=CARTESIAN_POINT('Ctrl Pts',(2.58432734001864,-3.0755423301869,-1.60390761875514))!#15776=CARTESIAN_POINT('Ctrl Pts',(2.58092935215304,-3.2185480326682,-1.33605308566358))!#15777=CARTESIAN_POINT('Ctrl Pts',(2.57834645669291,-3.32069175544051,-1.05180474431197))!#15778=CARTESIAN_POINT('',(2.57834645669291,-3.32069175544051,1.05180474431199))!#15779=CARTESIAN_POINT('Ctrl Pts',(2.57834645669291,-3.32069175544051,1.05180474431197))!#15780=CARTESIAN_POINT('Ctrl Pts',(2.58109337668088,-3.21206148446766,1.35410402914849))!#15781=CARTESIAN_POINT('Ctrl Pts',(2.5847646034659,-3.05725354817897,1.63775282538462))!#15782=CARTESIAN_POINT('Ctrl Pts',(2.59077375052589,-2.72465899647536,2.0714691865907))!#15783=CARTESIAN_POINT('Ctrl Pts',(2.59315231985083,-2.56849921930676,2.23486132275758))!#15784=CARTESIAN_POINT('Ctrl Pts',(2.59706999659612,-2.20000320042414,2.54489453345622))!#15785=CARTESIAN_POINT('Ctrl Pts',(2.59842519685039,-1.98460986679444,2.68474103913971))!#15786=CARTESIAN_POINT('Ctrl Pts',(2.59842519685039,-1.75492047244094,2.79654256470379))!#15787=CARTESIAN_POINT('Origin',(2.57834645669291,-0.393700787401575,5.72507920336232E-16))!#15788=CARTESIAN_POINT('Origin',(2.57834645669291,2.71653543307087,5.72507920336232E-16))!#15789=CARTESIAN_POINT('Origin',(2.57834645669291,1.46162145988292E-16, 5.72507920336232E-16))!#15790=CARTESIAN_POINT('Origin',(1.76614173228346,1.18837043389846E-16, 3.92162243186492E-16))!#15791=CARTESIAN_POINT('',(1.76614173228346,-3.87414586178941E-16,4.13385826771654))!#15792=CARTESIAN_POINT('Origin',(1.76614173228346,-2.85489258092426E-16, 3.30157480314961))!#15793=CARTESIAN_POINT('',(1.76614173228347,-4.13385826771654,-1.14089386382295E-16))!#15794=CARTESIAN_POINT('Origin',(1.76614173228346,1.18837043389846E-16, 3.92162243186492E-16))!#15795=CARTESIAN_POINT('',(1.76614173228347,1.22320847656417,-3.94873969773014))!#15796=CARTESIAN_POINT('Origin',(1.76614173228346,1.18837043389846E-16, 3.92162243186492E-16))!#15797=CARTESIAN_POINT('',(1.76614173228347,3.22456222934876,-2.58669337313601))!#15798=CARTESIAN_POINT('Ctrl Pts',(1.76614173228346,1.22320847656417,-3.94873969773014))!#15799=CARTESIAN_POINT('Ctrl Pts',(1.87793556835293,1.38719298752421,-3.89794190927453))!#15800=CARTESIAN_POINT('Ctrl Pts',(1.98173593405985,1.53559691983949,-3.81726198417704))!#15801=CARTESIAN_POINT('Ctrl Pts',(2.10951626928011,1.80148267498142,-3.64206385297039))!#15802=CARTESIAN_POINT('Ctrl Pts',(2.14550550204255,1.90190978351679,-3.569855016768))!#15803=CARTESIAN_POINT('Ctrl Pts',(2.2119514168283,2.1912451469776,-3.36134270601856))!#15804=CARTESIAN_POINT('Ctrl Pts',(2.21187835334846,2.37014131175336,-3.23354267632486))!#15805=CARTESIAN_POINT('Ctrl Pts',(2.16889615813521,2.68754917368626,-3.01024623255601))!#15806=CARTESIAN_POINT('Ctrl Pts',(2.11919672711592,2.84544962200166,-2.89959957857888))!#15807=CARTESIAN_POINT('Ctrl Pts',(2.00548879264516,3.03645116136622,-2.7557102599264))!#15808=CARTESIAN_POINT('Ctrl Pts',(1.95749480035486,3.09811945500128,-2.70723058039196))!#15809=CARTESIAN_POINT('Ctrl Pts',(1.8597017677482,3.1792281294674,-2.63572831282859))!#15810=CARTESIAN_POINT('Ctrl Pts',(1.81563832585172,3.2065205713721,-2.60918403610772))!#15811=CARTESIAN_POINT('Ctrl Pts',(1.76614173228346,3.22456222934876,-2.58669337313601))!#15812=CARTESIAN_POINT('Origin',(1.76614173228346,1.18837043389846E-16, 3.92162243186492E-16))!#15813=CARTESIAN_POINT('Origin',(1.19529131384353,8.042666289476E-17,2.65407987552708E-16))!#15814=CARTESIAN_POINT('',(1.45669291338583,3.27718957033965,-2.51968503937008))!#15815=CARTESIAN_POINT('Ctrl Pts',(1.76614173228346,3.22456222934876,-2.58669337313601))!#15816=CARTESIAN_POINT('Ctrl Pts',(1.7538857655995,3.22902956625307,-2.58112440775455))!#15817=CARTESIAN_POINT('Ctrl Pts',(1.74140210691572,3.23323554624058,-2.57585170891807))!#15818=CARTESIAN_POINT('Ctrl Pts',(1.68583872714644,3.25046280767164,-2.55415990544292))!#15819=CARTESIAN_POINT('Ctrl Pts',(1.64062183311731,3.26059474177598,-2.54114640533715))!#15820=CARTESIAN_POINT('Ctrl Pts',(1.54878330230965,3.27395770883816,-2.52390650459836))!#15821=CARTESIAN_POINT('Ctrl Pts',(1.50216085968567,3.27718957033965,-2.51968503937008))!#15822=CARTESIAN_POINT('Ctrl Pts',(1.45669291338583,3.27718957033965,-2.51968503937008))!#15823=CARTESIAN_POINT('',(1.30418674616915,1.04330708661417,-4.00003681240423))!#15824=CARTESIAN_POINT('Ctrl Pts',(1.45669291338583,3.27718957033965,-2.51968503937008))!#15825=CARTESIAN_POINT('Ctrl Pts',(1.41122496708598,3.27718957033965,-2.51968503937008))!#15826=CARTESIAN_POINT('Ctrl Pts',(1.36460252446201,3.27395770883816,-2.52390650459836))!#15827=CARTESIAN_POINT('Ctrl Pts',(1.27276399365435,3.26059474177598,-2.54114640533715))!#15828=CARTESIAN_POINT('Ctrl Pts',(1.22754709962521,3.25046280767164,-2.55415990544292))!#15829=CARTESIAN_POINT('Ctrl Pts',(1.14177143817636,3.22386831773353,-2.58764650756874))!#15830=CARTESIAN_POINT('Ctrl Pts',(1.10111750826471,3.20737044567375,-2.60814968339253))!#15831=CARTESIAN_POINT('Ctrl Pts',(1.02670675924745,3.1694849545463,-2.65406011050184))!#15832=CARTESIAN_POINT('Ctrl Pts',(0.992946394034016,3.14809168596001,-2.67945695148249))!#15833=CARTESIAN_POINT('Ctrl Pts',(0.917597500716534,3.0908494815731,-2.74558632718009))!#15834=CARTESIAN_POINT('Ctrl Pts',(0.878000647076937,3.05081598758182,-2.79024916783473))!#15835=CARTESIAN_POINT('Ctrl Pts',(0.811804265364875,2.96201925473853,-2.88433884002838))!#15836=CARTESIAN_POINT('Ctrl Pts',(0.785206717533726,2.91324390202262,-2.93375563168127))!#15837=CARTESIAN_POINT('Ctrl Pts',(0.744454751361903,2.80988418273165,-3.03289683312443))!#15838=CARTESIAN_POINT('Ctrl Pts',(0.73027093294106,2.7552462099433,-3.08266165125606))!#15839=CARTESIAN_POINT('Ctrl Pts',(0.712381155478204,2.64393974027112,-3.17864234913241))!#15840=CARTESIAN_POINT('Ctrl Pts',(0.708661417322835,2.58727236962013,-3.2248569661602))!#15841=CARTESIAN_POINT('Ctrl Pts',(0.708661417322835,2.45187809283217,-3.32976590145677))!#15842=CARTESIAN_POINT('Ctrl Pts',(0.716446927427817,2.36649122601553,-3.39113535158141))!#15843=CARTESIAN_POINT('Ctrl Pts',(0.745823140909229,2.1891096821073,-3.50825861283197))!#15844=CARTESIAN_POINT('Ctrl Pts',(0.767385871615246,2.09711152697256,-3.56401417075921))!#15845=CARTESIAN_POINT('Ctrl Pts',(0.821494186053045,1.91125346452608,-3.66704016489647))!#15846=CARTESIAN_POINT('Ctrl Pts',(0.854021024557948,1.81736258578716,-3.71433215276773))!#15847=CARTESIAN_POINT('Ctrl Pts',(0.927132614392786,1.63303181170507,-3.79898370722562))!#15848=CARTESIAN_POINT('Ctrl Pts',(0.967677945546209,1.54258054141344,-3.83635254566082))!#15849=CARTESIAN_POINT('Ctrl Pts',(1.04401249348794,1.39229800851715,-3.89295056243479))!#15850=CARTESIAN_POINT('Ctrl Pts',(1.08282833623339,1.32188902101314,-3.91745146255904))!#15851=CARTESIAN_POINT('Ctrl Pts',(1.17036884065811,1.18669996297305,-3.96049992214099))!#15852=CARTESIAN_POINT('Ctrl Pts',(1.21870783796693,1.12169535067683,-3.97912540210064))!#15853=CARTESIAN_POINT('Ctrl Pts',(1.28303681580864,1.061046563961,-3.99537881452262))!#15854=CARTESIAN_POINT('Ctrl Pts',(1.29331533376002,1.05198717503389,-3.99777283379967))!#15855=CARTESIAN_POINT('Ctrl Pts',(1.30418674616915,1.04330708661417,-4.00003681240423))!#15856=CARTESIAN_POINT('',(1.60919908060251,1.04330708661417,-4.00003681240423))!#15857=CARTESIAN_POINT('',(1.19529131384353,1.04330708661417,-4.00003681240423))!#15858=CARTESIAN_POINT('Ctrl Pts',(1.60919908060251,1.04330708661417,-4.00003681240423))!#15859=CARTESIAN_POINT('Ctrl Pts',(1.62007049301164,1.05198717503389,-3.99777283379967))!#15860=CARTESIAN_POINT('Ctrl Pts',(1.63034901096301,1.061046563961,-3.99537881452262))!#15861=CARTESIAN_POINT('Ctrl Pts',(1.68622017450995,1.11372139877434,-3.98126236041296))!#15862=CARTESIAN_POINT('Ctrl Pts',(1.72782642812324,1.16700576775744,-3.96614971588296))!#15863=CARTESIAN_POINT('Ctrl Pts',(1.76614173228346,1.22320847656417,-3.94873969773014))!#15864=CARTESIAN_POINT('',(1.19529131384353,-4.13385826771654,-2.40843642016079E-16))!#15865=CARTESIAN_POINT('Origin',(1.45669291338583,9.05511811023622,-3.26771653543307))!#15866=CARTESIAN_POINT('',(1.45669291338583,9.05511811023622,-4.27165354330709))!#15867=CARTESIAN_POINT('',(1.45669291338583,9.05511811023622,-2.26377952755905))!#15868=CARTESIAN_POINT('Origin',(1.45669291338583,9.05511811023622,-3.26771653543307))!#15869=CARTESIAN_POINT('Origin',(1.45669291338583,9.05511811023622,-3.26771653543307))!#15870=CARTESIAN_POINT('Origin',(1.45669291338583,6.83070866141732,-3.26771653543307))!#15871=CARTESIAN_POINT('',(1.45669291338583,4.60629921259843,-2.51968503937008))!#15872=CARTESIAN_POINT('',(1.45669291338583,6.83070866141732,-2.39173228346457))!#15873=CARTESIAN_POINT('',(1.45669291338583,4.60629921259843,-4.01574803149606))!#15874=CARTESIAN_POINT('Origin',(1.45669291338583,4.60629921259843,-3.26771653543307))!#15875=CARTESIAN_POINT('Origin',(1.45669291338583,4.60629921259843,-3.26771653543307))!#15876=CARTESIAN_POINT('Origin',(1.45669291338583,1.04330708661417,-4.01574803149606))!#15877=CARTESIAN_POINT('Origin',(1.45669291338583,1.04330708661417,-3.26771653543307))!#15878=CARTESIAN_POINT('Origin',(1.45669291338583,2.8248031496063,-3.26771653543307))!#15879=CARTESIAN_POINT('',(1.45669291338583,2.8248031496063,-2.51968503937008))!#15880=CARTESIAN_POINT('Origin',(7.58661417322835,-0.393700787401574,1.68456674681313E-15))!#15881=CARTESIAN_POINT('',(6.11811023622047,-1.64370078740157,1.20541252039595E-15))!#15882=CARTESIAN_POINT('',(7.58661417322835,-1.64370078740157,1.53148589691971E-15))!#15883=CARTESIAN_POINT('',(6.11811023622047,0.856299212598425,1.35849337028936E-15))!#15884=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15885=CARTESIAN_POINT('',(6.11811023622047,-0.393700787401575,1.25))!#15886=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15887=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15888=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15889=CARTESIAN_POINT('',(5.96062992125984,1.01377952755906,1.32352571597046E-15))!#15890=CARTESIAN_POINT('',(5.96062992125984,-0.393700787401575,1.40748031496063))!#15891=CARTESIAN_POINT('Origin',(5.96062992125984,-0.393700787401575,1.32352571597046E-15))!#15892=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.40748031496063))!#15893=CARTESIAN_POINT('Origin',(5.96062992125984,-0.393700787401575,1.32352571597046E-15))!#15894=CARTESIAN_POINT('Origin',(5.96062992125984,1.01377952755906,1.32352571597046E-15))!#15895=CARTESIAN_POINT('',(5.96062992125984,1.34765964081399,1.32352571597046E-15))!#15896=CARTESIAN_POINT('',(5.96062992125984,-0.393700787401575,1.74136042821556))!#15897=CARTESIAN_POINT('Origin',(5.96062992125984,-0.393700787401575,1.32352571597046E-15))!#15898=CARTESIAN_POINT('Origin',(5.96062992125984,-0.393700787401575,1.32352571597046E-15))!#15899=CARTESIAN_POINT('Origin',(6.15748031496063,-0.393700787401575,1.36723528386909E-15))!#15900=CARTESIAN_POINT('',(5.98699182353145,1.44606569647296,1.32937923414543E-15))!#15901=CARTESIAN_POINT('',(5.98699182353145,-0.393700787401575,1.83976648387454))!#15902=CARTESIAN_POINT('Origin',(5.98699182353145,-0.393700787401575,1.32937923414543E-15))!#15903=CARTESIAN_POINT('Origin',(6.15748031496063,-0.393700787401575,1.74136042821556))!#15904=CARTESIAN_POINT('',(5.98699182353145,-2.23346727127611,1.10407282057988E-15))!#15905=CARTESIAN_POINT('Origin',(5.98699182353145,-0.393700787401575,1.32937923414543E-15))!#15906=CARTESIAN_POINT('Origin',(5.98699182353145,-0.393700787401575,1.32937923414543E-15))!#15907=CARTESIAN_POINT('Origin',(6.03937007874016,-0.393700787401575,1.34100954312991E-15))!#15908=CARTESIAN_POINT('',(6.09174833394886,1.62755635077113,1.35263985211439E-15))!#15909=CARTESIAN_POINT('',(6.09174833394886,-0.393700787401575,2.02125713817271))!#15910=CARTESIAN_POINT('Origin',(6.09174833394886,-0.393700787401575,1.35263985211439E-15))!#15911=CARTESIAN_POINT('',(6.09174833394886,-2.41495792557428,1.1051072436627E-15))!#15912=CARTESIAN_POINT('Origin',(6.09174833394886,-0.393700787401575,1.35263985211439E-15))!#15913=CARTESIAN_POINT('',(6.03937007874016,-2.3242125984252,1.10459003212129E-15))!#15914=CARTESIAN_POINT('Origin',(6.09174833394886,-0.393700787401575,1.35263985211439E-15))!#15915=CARTESIAN_POINT('Origin',(5.92125984251968,-0.393700787401575,1.31478380239074E-15))!#15916=CARTESIAN_POINT('',(6.11811023622047,-0.393700787401575,2.11966319383168))!#15917=CARTESIAN_POINT('Origin',(5.92125984251968,-0.393700787401575,2.11966319383168))!#15918=CARTESIAN_POINT('',(6.11811023622047,1.72596240643011,1.35849337028936E-15))!#15919=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15920=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15921=CARTESIAN_POINT('Origin',(6.11811023622047,1.72596240643011,1.35849337028936E-15))!#15922=CARTESIAN_POINT('',(6.11811023622047,3.1496062992126,1.35849337028936E-15))!#15923=CARTESIAN_POINT('',(6.11811023622047,-3.93700787401575,9.24563402087547E-16))!#15924=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15925=CARTESIAN_POINT('Origin',(6.11811023622047,-0.393700787401575,1.35849337028936E-15))!#15926=CARTESIAN_POINT('Origin',(6.08228639426514,-0.393700787401575,1.3505388794555E-15))!#15927=CARTESIAN_POINT('',(6.11092511160899,-3.95674884164177,9.20550412650324E-16))!#15928=CARTESIAN_POINT('',(6.08228639426514,-4.03543307086614,9.04555301025849E-16))!#15929=CARTESIAN_POINT('',(6.11092511160898,-0.511811023622041,-3.56108991868008))!#15930=CARTESIAN_POINT('Origin',(6.11092511160898,-0.393700787401567,-8.61987859475907E-15))!#15931=CARTESIAN_POINT('',(6.0464625523098,-0.511811023622041,-3.73829211668322))!#15932=CARTESIAN_POINT('Ctrl Pts',(6.11092511160898,-0.511811023622041, -3.56108991868008))!#15933=CARTESIAN_POINT('Ctrl Pts',(6.07947630406829,-0.511811023622041, -3.64754231870045))!#15934=CARTESIAN_POINT('Ctrl Pts',(6.0464625523098,-0.511811023622042,-3.73829211668322))!#15935=CARTESIAN_POINT('',(6.0464625523098,-0.275590551181097,-3.73829211668322))!#15936=CARTESIAN_POINT('Origin',(6.0464625523098,-0.393700787401575,1.34258438862163E-15))!#15937=CARTESIAN_POINT('',(6.11092511160898,-0.275590551181096,-3.56108991868008))!#15938=CARTESIAN_POINT('Ctrl Pts',(6.0464625523098,-0.275590551181097,-3.73829211668322))!#15939=CARTESIAN_POINT('Ctrl Pts',(6.07947630408729,-0.275590551181096, -3.6475423186482))!#15940=CARTESIAN_POINT('Ctrl Pts',(6.11092511160898,-0.275590551181096, -3.56108991868008))!#15941=CARTESIAN_POINT('',(6.11092511160899,0.236220472440955,3.50692324455829))!#15942=CARTESIAN_POINT('Origin',(6.11092511160898,-0.393700787401567,-8.61987859475907E-15))!#15943=CARTESIAN_POINT('',(6.0464625523098,0.236220472440955,3.68672987672739))!#15944=CARTESIAN_POINT('Ctrl Pts',(6.11092511160899,0.236220472440955,3.50692324455829))!#15945=CARTESIAN_POINT('Ctrl Pts',(6.07949945848846,0.236220472440955,3.59464632286916))!#15946=CARTESIAN_POINT('Ctrl Pts',(6.0464625523098,0.236220472440955,3.68672987672739))!#15947=CARTESIAN_POINT('',(6.0464625523098,-1.02362204724408,3.68672987672739))!#15948=CARTESIAN_POINT('Origin',(6.0464625523098,-0.393700787401575,1.34258438862163E-15))!#15949=CARTESIAN_POINT('',(6.11092511160899,-1.02362204724408,3.50692324455829))!#15950=CARTESIAN_POINT('Ctrl Pts',(6.0464625523098,-1.02362204724408,3.6867298767274))!#15951=CARTESIAN_POINT('Ctrl Pts',(6.07949945850583,-1.02362204724408,3.59464632282069))!#15952=CARTESIAN_POINT('Ctrl Pts',(6.11092511160899,-1.02362204724408,3.50692324455829))!#15953=CARTESIAN_POINT('Origin',(6.11092511160898,-0.393700787401567,-8.61987859475907E-15))!#15954=CARTESIAN_POINT('Origin',(0.234880710115939,0.,5.21539944822046E-17))!#15955=CARTESIAN_POINT('',(0.302756975403362,4.35143243643069,6.7225552991737E-17))!#15956=CARTESIAN_POINT('',(0.302756975403363,-5.32896780498081E-16,-4.35143243643069))!#15957=CARTESIAN_POINT('Origin',(0.302756975403362,0.,6.7225552991737E-17))!#15958=CARTESIAN_POINT('',(0.302756975403362,-4.35143243643069,6.00122333489818E-16))!#15959=CARTESIAN_POINT('Origin',(0.302756975403362,0.,6.7225552991737E-17))!#15960=CARTESIAN_POINT('',(0.234880710115939,-4.53792094262479,6.07889030199124E-16))!#15961=CARTESIAN_POINT('Origin',(0.302756975403362,0.,6.7225552991737E-17))!#15962=CARTESIAN_POINT('Origin',(0.412242678065471,0.,9.15362625842844E-17))!#15963=CARTESIAN_POINT('',(0.332353593380871,-4.33070866141732,6.04156172362114E-16))!#15964=CARTESIAN_POINT('',(0.412242678065471,-4.33070866141732,6.21895112608729E-16))!#15965=CARTESIAN_POINT('',(0.332353593380872,-5.30358850024444E-16,-4.33070866141732))!#15966=CARTESIAN_POINT('Origin',(0.332353593380871,0.,7.37973223376699E-17))!#15967=CARTESIAN_POINT('',(0.332353593380871,4.33070866141732,7.37973223376699E-17))!#15968=CARTESIAN_POINT('Origin',(0.332353593380871,0.,7.37973223376699E-17))!#15969=CARTESIAN_POINT('Origin',(0.332353593380871,0.,7.37973223376699E-17))!#15970=CARTESIAN_POINT('Origin',(0.332353593380871,0.,7.37973223376699E-17))!#15971=CARTESIAN_POINT('Origin',(0.332353593380872,-5.34216005297349E-16, -4.36220472440945))!#15972=CARTESIAN_POINT('Origin',(5.6738188976378,-0.393700787401575,1.25984087554216E-15))!#15973=CARTESIAN_POINT('',(5.46402661584449,-0.511811023622042,-3.73829211668322))!#15974=CARTESIAN_POINT('',(5.6738188976378,-0.511811023622042,-3.73829211668322))!#15975=CARTESIAN_POINT('',(5.46402661584445,-4.13385826771654,7.55220442557561E-16))!#15976=CARTESIAN_POINT('Origin',(5.46402661584445,-0.393700787401575,1.21325763121504E-15))!#15977=CARTESIAN_POINT('',(5.6738188976378,-4.13385826771654,8.01803686884686E-16))!#15978=CARTESIAN_POINT('',(5.46402661584445,-1.02362204724408,3.68672987672739))!#15979=CARTESIAN_POINT('Origin',(5.46402661584445,-0.393700787401575,1.21325763121504E-15))!#15980=CARTESIAN_POINT('',(5.67381889763779,-1.02362204724408,3.68672987672739))!#15981=CARTESIAN_POINT('',(5.46402661584445,0.236220472440955,3.68672987672739))!#15982=CARTESIAN_POINT('',(5.67381889763779,0.236220472440955,3.68672987672739))!#15983=CARTESIAN_POINT('',(5.46402661584449,-0.275590551181097,-3.73829211668322))!#15984=CARTESIAN_POINT('Origin',(5.46402661584445,-0.393700787401575,1.21325763121504E-15))!#15985=CARTESIAN_POINT('',(5.6738188976378,-0.275590551181097,-3.73829211668322))!#15986=CARTESIAN_POINT('Origin',(5.88582677165354,-0.275590551181097,-4.72440944881891))!#15987=CARTESIAN_POINT('',(5.49890061128832,-0.275590551181097,-3.83682112107548))!#15988=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,-0.275590551181097, -3.83682112107548))!#15989=CARTESIAN_POINT('Ctrl Pts',(5.48123681067309,-0.275590551181097, -3.78691645849493))!#15990=CARTESIAN_POINT('Ctrl Pts',(5.46402661584449,-0.275590551181097, -3.73829211668322))!#15991=CARTESIAN_POINT('',(5.61023622047244,-0.275590551181097,-3.91554187347504))!#15992=CARTESIAN_POINT('Ctrl Pts',(5.61023622047244,-0.275590551181097, -3.91554187347503))!#15993=CARTESIAN_POINT('Ctrl Pts',(5.59566197414078,-0.275590551181097, -3.91554187347503))!#15994=CARTESIAN_POINT('Ctrl Pts',(5.57850914409989,-0.275590551181097, -3.91212882697315))!#15995=CARTESIAN_POINT('Ctrl Pts',(5.55157736065314,-0.275590551181097, -3.90097036823775))!#15996=CARTESIAN_POINT('Ctrl Pts',(5.53703386833056,-0.275590551181097, -3.89124938421385))!#15997=CARTESIAN_POINT('Ctrl Pts',(5.51641785981258,-0.275590551181097, -3.87062762815385))!#15998=CARTESIAN_POINT('Ctrl Pts',(5.50669871566935,-0.275590551181097, -3.85607679572223))!#15999=CARTESIAN_POINT('Ctrl Pts',(5.50034711138746,-0.275590551181097, -3.84073848163585))!#16000=CARTESIAN_POINT('Ctrl Pts',(5.49960321956098,-0.275590551181097, -3.83880616549128))!#16001=CARTESIAN_POINT('Ctrl Pts',(5.49890061128833,-0.275590551181097, -3.83682112107548))!#16002=CARTESIAN_POINT('',(5.80708661417322,-0.275590551181097,-3.91554187347504))!#16003=CARTESIAN_POINT('',(5.88582677165354,-0.275590551181097,-3.91554187347504))!#16004=CARTESIAN_POINT('',(5.96456692913385,-0.275590551181097,-3.91554187347504))!#16005=CARTESIAN_POINT('',(5.88582677165354,-0.275590551181097,-3.91554187347504))!#16006=CARTESIAN_POINT('',(6.16141732283464,-0.275590551181097,-3.91554187347504))!#16007=CARTESIAN_POINT('',(5.88582677165354,-0.275590551181097,-3.91554187347504))!#16008=CARTESIAN_POINT('',(6.27275293201876,-0.275590551181096,-3.83682112107548))!#16009=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-0.275590551181096, -3.83682112107548))!#16010=CARTESIAN_POINT('Ctrl Pts',(6.2720503237461,-0.275590551181096,-3.83880616549128))!#16011=CARTESIAN_POINT('Ctrl Pts',(6.27130643191963,-0.275590551181096, -3.84073848163585))!#16012=CARTESIAN_POINT('Ctrl Pts',(6.26495482763773,-0.275590551181096, -3.85607679572223))!#16013=CARTESIAN_POINT('Ctrl Pts',(6.25523568349451,-0.275590551181096, -3.87062762815385))!#16014=CARTESIAN_POINT('Ctrl Pts',(6.23461967497653,-0.275590551181097, -3.89124938421385))!#16015=CARTESIAN_POINT('Ctrl Pts',(6.22007618265394,-0.275590551181097, -3.90097036823775))!#16016=CARTESIAN_POINT('Ctrl Pts',(6.1931443992072,-0.275590551181097,-3.91212882697315))!#16017=CARTESIAN_POINT('Ctrl Pts',(6.1759915691663,-0.275590551181097,-3.91554187347503))!#16018=CARTESIAN_POINT('Ctrl Pts',(6.16141732283464,-0.275590551181097, -3.91554187347503))!#16019=CARTESIAN_POINT('',(6.37034466460596,-0.275590551181096,-3.56108991868008))!#16020=CARTESIAN_POINT('Ctrl Pts',(6.37034466460596,-0.275590551181096, -3.56108991868008))!#16021=CARTESIAN_POINT('Ctrl Pts',(6.32336750419121,-0.275590551181096, -3.69382227269892))!#16022=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-0.275590551181096, -3.83682112107548))!#16023=CARTESIAN_POINT('',(5.88582677165354,-0.275590551181097,-3.56108991868008))!#16024=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16025=CARTESIAN_POINT('',(6.16141732283465,0.843475336756465,3.71682840990133))!#16026=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16027=CARTESIAN_POINT('',(6.16141732283464,0.393700787401583,3.83737109892096))!#16028=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16029=CARTESIAN_POINT('',(6.16141732283464,0.236220472440955,3.86634419021829))!#16030=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16031=CARTESIAN_POINT('',(6.27275293201876,0.236220472440955,3.78660079087157))!#16032=CARTESIAN_POINT('Ctrl Pts',(6.16141732283464,0.236220472440955,3.86634419021829))!#16033=CARTESIAN_POINT('Ctrl Pts',(6.17593159953701,0.236220472440955,3.86634419021829))!#16034=CARTESIAN_POINT('Ctrl Pts',(6.19300777836922,0.236220472440955,3.86291481635595))!#16035=CARTESIAN_POINT('Ctrl Pts',(6.21988675908792,0.236220472440955,3.85169618256626))!#16036=CARTESIAN_POINT('Ctrl Pts',(6.2344213565761,0.236220472440955,3.84188808653618))!#16037=CARTESIAN_POINT('Ctrl Pts',(6.2550891334141,0.236220472440955,3.82105369875815))!#16038=CARTESIAN_POINT('Ctrl Pts',(6.26484390900595,0.236220472440955,3.80630627150443))!#16039=CARTESIAN_POINT('Ctrl Pts',(6.27124993727129,0.236220472440955,3.79071857457367))!#16040=CARTESIAN_POINT('Ctrl Pts',(6.27202387428813,0.236220472440955,3.78868787963527))!#16041=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,0.236220472440955,3.78660079087157))!#16042=CARTESIAN_POINT('Origin',(6.27275293201876,-0.393700787401567,-8.5839456003103E-15))!#16043=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16044=CARTESIAN_POINT('',(5.49890061128832,0.236220472440955,3.78660079087157))!#16045=CARTESIAN_POINT('Origin',(5.49890061128832,-0.393700787401567,-8.75577533313721E-15))!#16046=CARTESIAN_POINT('',(5.61023622047244,0.236220472440955,3.86634419021829))!#16047=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,0.236220472440955,3.78660079087157))!#16048=CARTESIAN_POINT('Ctrl Pts',(5.49962966901895,0.236220472440955,3.78868787963527))!#16049=CARTESIAN_POINT('Ctrl Pts',(5.50040360603579,0.236220472440955,3.79071857457367))!#16050=CARTESIAN_POINT('Ctrl Pts',(5.50680963430113,0.236220472440955,3.80630627150443))!#16051=CARTESIAN_POINT('Ctrl Pts',(5.51656440989298,0.236220472440955,3.82105369875815))!#16052=CARTESIAN_POINT('Ctrl Pts',(5.53723218673098,0.236220472440955,3.84188808653618))!#16053=CARTESIAN_POINT('Ctrl Pts',(5.55176678421916,0.236220472440955,3.85169618256626))!#16054=CARTESIAN_POINT('Ctrl Pts',(5.57864576493786,0.236220472440955,3.86291481635595))!#16055=CARTESIAN_POINT('Ctrl Pts',(5.59572194377007,0.236220472440955,3.86634419021829))!#16056=CARTESIAN_POINT('Ctrl Pts',(5.61023622047244,0.236220472440955,3.86634419021829))!#16057=CARTESIAN_POINT('',(5.61023622047244,0.393700787401582,3.83737109892096))!#16058=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16059=CARTESIAN_POINT('',(5.61023622047244,0.84347533675648,3.71682840990132))!#16060=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16061=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16062=CARTESIAN_POINT('Origin',(6.32154879831236,-0.393700787401567,-8.57311074145717E-15))!#16063=CARTESIAN_POINT('',(6.37034466460596,0.236220472440955,3.50692324455829))!#16064=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,0.236220472440955,3.78660079087157))!#16065=CARTESIAN_POINT('Ctrl Pts',(6.32341992508076,0.236220472440955,3.64155533360872))!#16066=CARTESIAN_POINT('Ctrl Pts',(6.37034466460596,0.236220472440955,3.50692324455829))!#16067=CARTESIAN_POINT('Origin',(6.37034466460596,-0.393700787401566,-8.56227588260403E-15))!#16068=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16069=CARTESIAN_POINT('',(5.88582677165354,0.236220472440955,3.50692324455829))!#16070=CARTESIAN_POINT('Origin',(5.88582677165354,0.236220472440954,2.75590551181101))!#16071=CARTESIAN_POINT('',(5.88582677165354,0.236220472440955,3.86634419021829))!#16072=CARTESIAN_POINT('Ctrl Pts',(5.46402661584445,0.236220472440955,3.68672987672739))!#16073=CARTESIAN_POINT('Ctrl Pts',(5.48123059177605,0.236220472440955,3.73601645765756))!#16074=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,0.236220472440955,3.78660079087157))!#16075=CARTESIAN_POINT('Origin',(5.45010474499472,-0.393700787401567,-8.76661019199035E-15))!#16076=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16077=CARTESIAN_POINT('',(5.49890061128832,-0.511811023622042,-3.83682112107548))!#16078=CARTESIAN_POINT('',(5.61023622047244,-0.511811023622042,-3.91554187347504))!#16079=CARTESIAN_POINT('Ctrl Pts',(5.49890061128833,-0.511811023622042, -3.83682112107548))!#16080=CARTESIAN_POINT('Ctrl Pts',(5.49960321956098,-0.511811023622042, -3.83880616549128))!#16081=CARTESIAN_POINT('Ctrl Pts',(5.50034711138746,-0.511811023622042, -3.84073848163585))!#16082=CARTESIAN_POINT('Ctrl Pts',(5.50669871566935,-0.511811023622042, -3.85607679572223))!#16083=CARTESIAN_POINT('Ctrl Pts',(5.51641785981258,-0.511811023622042, -3.87062762815385))!#16084=CARTESIAN_POINT('Ctrl Pts',(5.53703386833056,-0.511811023622042, -3.89124938421385))!#16085=CARTESIAN_POINT('Ctrl Pts',(5.55157736065314,-0.511811023622042, -3.90097036823775))!#16086=CARTESIAN_POINT('Ctrl Pts',(5.57850914409989,-0.511811023622042, -3.91212882697315))!#16087=CARTESIAN_POINT('Ctrl Pts',(5.59566197414078,-0.511811023622042, -3.91554187347503))!#16088=CARTESIAN_POINT('Ctrl Pts',(5.61023622047244,-0.511811023622042, -3.91554187347503))!#16089=CARTESIAN_POINT('',(5.61023622047244,-1.90944881889763,-3.6121913149572))!#16090=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16091=CARTESIAN_POINT('',(5.61023622047245,-2.50472614476469,3.29984698605954))!#16092=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16093=CARTESIAN_POINT('',(5.61023622047245,-1.0641465433771,3.85952337460608))!#16094=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16095=CARTESIAN_POINT('',(5.61023622047244,-1.02362204724408,3.86634419021829))!#16096=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.73105386178184E-15))!#16097=CARTESIAN_POINT('',(5.49890061128832,-1.02362204724408,3.78660079087157))!#16098=CARTESIAN_POINT('Ctrl Pts',(5.61023622047244,-1.02362204724408,3.86634419021829))!#16099=CARTESIAN_POINT('Ctrl Pts',(5.59572194377008,-1.02362204724408,3.86634419021829))!#16100=CARTESIAN_POINT('Ctrl Pts',(5.57864576493786,-1.02362204724408,3.86291481635595))!#16101=CARTESIAN_POINT('Ctrl Pts',(5.55176678421916,-1.02362204724408,3.85169618256626))!#16102=CARTESIAN_POINT('Ctrl Pts',(5.53723218673098,-1.02362204724408,3.84188808653618))!#16103=CARTESIAN_POINT('Ctrl Pts',(5.51656440989298,-1.02362204724408,3.82105369875815))!#16104=CARTESIAN_POINT('Ctrl Pts',(5.50680963430113,-1.02362204724408,3.80630627150443))!#16105=CARTESIAN_POINT('Ctrl Pts',(5.50040360603579,-1.02362204724408,3.79071857457367))!#16106=CARTESIAN_POINT('Ctrl Pts',(5.49962966901895,-1.02362204724408,3.78868787963527))!#16107=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,-1.02362204724408,3.78660079087157))!#16108=CARTESIAN_POINT('Origin',(5.49890061128832,-0.393700787401567,-8.75577533313721E-15))!#16109=CARTESIAN_POINT('Origin',(5.45010474499472,-0.393700787401567,-8.76661019199035E-15))!#16110=CARTESIAN_POINT('Ctrl Pts',(5.46402661584449,-0.511811023622042, -3.73829211668322))!#16111=CARTESIAN_POINT('Ctrl Pts',(5.48123681067309,-0.511811023622042, -3.78691645849493))!#16112=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,-0.511811023622042, -3.83682112107548))!#16113=CARTESIAN_POINT('Ctrl Pts',(5.49890061128832,-1.02362204724408,3.78660079087157))!#16114=CARTESIAN_POINT('Ctrl Pts',(5.48123059177605,-1.02362204724408,3.73601645765756))!#16115=CARTESIAN_POINT('Ctrl Pts',(5.46402661584445,-1.02362204724408,3.68672987672739))!#16116=CARTESIAN_POINT('Origin',(5.88582677165354,-1.02362204724408,4.72440944881889))!#16117=CARTESIAN_POINT('',(6.16141732283464,-1.02362204724408,3.86634419021829))!#16118=CARTESIAN_POINT('',(5.88582677165354,-1.02362204724408,3.86634419021829))!#16119=CARTESIAN_POINT('',(6.27275293201876,-1.02362204724408,3.78660079087157))!#16120=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-1.02362204724408,3.78660079087157))!#16121=CARTESIAN_POINT('Ctrl Pts',(6.27202387428813,-1.02362204724408,3.78868787963527))!#16122=CARTESIAN_POINT('Ctrl Pts',(6.27124993727129,-1.02362204724408,3.79071857457367))!#16123=CARTESIAN_POINT('Ctrl Pts',(6.26484390900595,-1.02362204724408,3.80630627150443))!#16124=CARTESIAN_POINT('Ctrl Pts',(6.2550891334141,-1.02362204724408,3.82105369875815))!#16125=CARTESIAN_POINT('Ctrl Pts',(6.2344213565761,-1.02362204724408,3.84188808653618))!#16126=CARTESIAN_POINT('Ctrl Pts',(6.21988675908792,-1.02362204724408,3.85169618256626))!#16127=CARTESIAN_POINT('Ctrl Pts',(6.19300777836923,-1.02362204724408,3.86291481635595))!#16128=CARTESIAN_POINT('Ctrl Pts',(6.17593159953701,-1.02362204724408,3.86634419021829))!#16129=CARTESIAN_POINT('Ctrl Pts',(6.16141732283464,-1.02362204724408,3.86634419021829))!#16130=CARTESIAN_POINT('',(6.37034466460596,-1.02362204724408,3.50692324455829))!#16131=CARTESIAN_POINT('Ctrl Pts',(6.37034466460596,-1.02362204724408,3.50692324455829))!#16132=CARTESIAN_POINT('Ctrl Pts',(6.32341992508076,-1.02362204724408,3.64155533360873))!#16133=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-1.02362204724408,3.78660079087157))!#16134=CARTESIAN_POINT('',(5.88582677165354,-1.02362204724408,3.50692324455829))!#16135=CARTESIAN_POINT('Origin',(6.32154879831236,-0.393700787401567,-8.57311074145717E-15))!#16136=CARTESIAN_POINT('',(6.27275293201876,-0.511811023622041,-3.83682112107548))!#16137=CARTESIAN_POINT('',(6.37034466460596,-0.511811023622041,-3.56108991868008))!#16138=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-0.511811023622041, -3.83682112107548))!#16139=CARTESIAN_POINT('Ctrl Pts',(6.32336750419121,-0.511811023622041, -3.69382227269892))!#16140=CARTESIAN_POINT('Ctrl Pts',(6.37034466460596,-0.511811023622041, -3.56108991868008))!#16141=CARTESIAN_POINT('Origin',(6.37034466460596,-0.393700787401566,-8.56227588260403E-15))!#16142=CARTESIAN_POINT('Origin',(6.27275293201876,-0.393700787401567,-8.5839456003103E-15))!#16143=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16144=CARTESIAN_POINT('',(6.16141732283464,-0.511811023622042,-3.91554187347504))!#16145=CARTESIAN_POINT('Ctrl Pts',(6.16141732283464,-0.511811023622042, -3.91554187347503))!#16146=CARTESIAN_POINT('Ctrl Pts',(6.1759915691663,-0.511811023622042,-3.91554187347503))!#16147=CARTESIAN_POINT('Ctrl Pts',(6.1931443992072,-0.511811023622041,-3.91212882697315))!#16148=CARTESIAN_POINT('Ctrl Pts',(6.22007618265394,-0.511811023622041, -3.90097036823775))!#16149=CARTESIAN_POINT('Ctrl Pts',(6.23461967497653,-0.511811023622041, -3.89124938421385))!#16150=CARTESIAN_POINT('Ctrl Pts',(6.25523568349451,-0.511811023622041, -3.87062762815385))!#16151=CARTESIAN_POINT('Ctrl Pts',(6.26495482763773,-0.511811023622041, -3.85607679572223))!#16152=CARTESIAN_POINT('Ctrl Pts',(6.27130643191963,-0.511811023622041, -3.84073848163585))!#16153=CARTESIAN_POINT('Ctrl Pts',(6.2720503237461,-0.511811023622041,-3.83880616549128))!#16154=CARTESIAN_POINT('Ctrl Pts',(6.27275293201876,-0.511811023622041, -3.83682112107548))!#16155=CARTESIAN_POINT('',(6.16141732283465,-1.0641465433771,3.85952337460608))!#16156=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16157=CARTESIAN_POINT('',(6.16141732283465,-2.50472614476469,3.29984698605954))!#16158=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16159=CARTESIAN_POINT('',(6.16141732283464,-1.90944881889763,-3.6121913149572))!#16160=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16161=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401567,-8.60866707166568E-15))!#16162=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16163=CARTESIAN_POINT('',(5.88582677165354,-0.511811023622042,-3.56108991868008))!#16164=CARTESIAN_POINT('Origin',(5.88582677165354,-0.511811023622041,-2.75590551181103))!#16165=CARTESIAN_POINT('',(5.88582677165354,-0.511811023622042,-3.91554187347504))!#16166=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16167=CARTESIAN_POINT('',(5.88582677165355,-1.0641465433771,3.85952337460608))!#16168=CARTESIAN_POINT('Origin',(5.88582677165354,-0.620078740157476,-4.76217685604415))!#16169=CARTESIAN_POINT('',(5.61023622047244,-1.90944881889763,-4.01775869399846))!#16170=CARTESIAN_POINT('',(6.16141732283464,-1.90944881889763,-4.01775869399846))!#16171=CARTESIAN_POINT('',(5.88582677165354,-1.90944881889763,-4.01775869399846))!#16172=CARTESIAN_POINT('',(5.61023622047243,-0.620078740157477,-4.76217685604414))!#16173=CARTESIAN_POINT('',(5.61023622047244,-1.90944881889763,-4.01775869399846))!#16174=CARTESIAN_POINT('',(6.16141732283464,-0.620078740157476,-4.76217685604415))!#16175=CARTESIAN_POINT('',(5.88582677165354,-0.620078740157476,-4.76217685604415))!#16176=CARTESIAN_POINT('',(6.16141732283464,-1.90944881889763,-4.01775869399846))!#16177=CARTESIAN_POINT('Origin',(5.88582677165354,-1.90944881889763,-4.01775869399846))!#16178=CARTESIAN_POINT('',(5.88582677165354,-1.90944881889763,-3.6121913149572))!#16179=CARTESIAN_POINT('',(5.61023622047244,-1.90944881889763,-3.6121913149572))!#16180=CARTESIAN_POINT('',(6.16141732283464,-1.90944881889763,-3.6121913149572))!#16181=CARTESIAN_POINT('Origin',(5.88582677165354,-0.39370078740157,-4.37007874015749))!#16182=CARTESIAN_POINT('',(5.80708661417322,-0.393700787401569,-3.91732283464568))!#16183=CARTESIAN_POINT('',(5.80708661417322,-0.167860813823944,-4.76248696676631))!#16184=CARTESIAN_POINT('Origin',(5.80708661417322,-0.39370078740157,-4.37007874015749))!#16185=CARTESIAN_POINT('',(5.96456692913385,-0.167860813823944,-4.76248696676631))!#16186=CARTESIAN_POINT('',(5.88582677165354,-0.167860813823944,-4.76248696676631))!#16187=CARTESIAN_POINT('',(5.96456692913385,-0.393700787401569,-3.91732283464568))!#16188=CARTESIAN_POINT('Origin',(5.96456692913385,-0.393700787401569,-4.37007874015749))!#16189=CARTESIAN_POINT('',(6.16141732283464,-0.393700787401569,-3.91732283464568))!#16190=CARTESIAN_POINT('',(5.88582677165354,-0.393700787401569,-3.91732283464568))!#16191=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401569,-4.37007874015749))!#16192=CARTESIAN_POINT('',(5.61023622047243,-0.39370078740157,-3.91732283464568))!#16193=CARTESIAN_POINT('Origin',(5.61023622047243,-0.39370078740157,-4.37007874015749))!#16194=CARTESIAN_POINT('',(5.88582677165354,-0.393700787401569,-3.91732283464568))!#16195=CARTESIAN_POINT('Origin',(5.80708661417322,0.391756963421181,-4.13687424826406))!#16196=CARTESIAN_POINT('Origin',(5.80708661417323,-0.393700787401567,-9.26197071127386E-15))!#16197=CARTESIAN_POINT('',(5.80708661417322,1.12614173228347,-3.61047045496759))!#16198=CARTESIAN_POINT('Origin',(5.80708661417322,-0.393700787401567,-8.68734429388321E-15))!#16199=CARTESIAN_POINT('',(5.80708661417322,1.12614173228347,-4.01775869399846))!#16200=CARTESIAN_POINT('',(5.80708661417322,1.12614173228347,-3.61047045496759))!#16201=CARTESIAN_POINT('',(5.80708661417322,1.12614173228347,-4.01775869399846))!#16202=CARTESIAN_POINT('Origin',(5.88582677165353,1.12614173228347,-3.61047045496759))!#16203=CARTESIAN_POINT('',(5.96456692913385,1.12614173228347,-4.01775869399846))!#16204=CARTESIAN_POINT('',(5.88582677165353,1.12614173228347,-4.01775869399846))!#16205=CARTESIAN_POINT('',(5.96456692913385,1.12614173228347,-3.61047045496759))!#16206=CARTESIAN_POINT('',(5.88582677165354,1.12614173228347,-3.61047045496759))!#16207=CARTESIAN_POINT('',(5.96456692913385,1.12614173228347,-3.61047045496759))!#16208=CARTESIAN_POINT('Origin',(5.88582677165353,1.12614173228347,-4.01775869399846))!#16209=CARTESIAN_POINT('',(5.96456692913385,1.12614173228347,-4.01775869399846))!#16210=CARTESIAN_POINT('Origin',(5.96456692913385,0.391756963421181,-4.13687424826406))!#16211=CARTESIAN_POINT('Origin',(5.96456692913385,-0.393700787401567,-8.65237663956431E-15))!#16212=CARTESIAN_POINT('Origin',(5.96456692913386,-0.393700787401567,-9.47645639492976E-15))!#16213=CARTESIAN_POINT('Origin',(6.16141732283464,-0.979173433118774,-4.1920832267005))!#16214=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401566,-9.74456349949963E-15))!#16215=CARTESIAN_POINT('',(6.16141732283465,-0.643700787401569,-4.51441630745488))!#16216=CARTESIAN_POINT('',(6.16141732283465,-0.643700787401569,-4.22574117286007))!#16217=CARTESIAN_POINT('',(6.16141732283464,-0.643700787401569,-4.35324976707769))!#16218=CARTESIAN_POINT('',(6.16141732283465,-0.393700787401569,-4.08140360556267))!#16219=CARTESIAN_POINT('',(6.16141732283464,-0.76221587044217,-4.29416588795659))!#16220=CARTESIAN_POINT('',(6.16141732283465,-0.143700787401569,-4.22574117286007))!#16221=CARTESIAN_POINT('',(6.16141732283464,-0.589290188648871,-3.9684800121019))!#16222=CARTESIAN_POINT('',(6.16141732283464,-0.14370078740157,-4.51441630745489))!#16223=CARTESIAN_POINT('',(6.16141732283464,-0.143700787401569,-4.20891219978029))!#16224=CARTESIAN_POINT('',(6.16141732283464,-0.393700787401569,-4.65875387475229))!#16225=CARTESIAN_POINT('',(6.16141732283464,-0.387215870442171,-4.65500980620011))!#16226=CARTESIAN_POINT('',(6.16141732283464,-0.714290188648872,-4.47366149764282))!#16227=CARTESIAN_POINT('Origin',(5.61023622047243,-0.979173433118775,-4.19208322670049))!#16228=CARTESIAN_POINT('Origin',(5.61023622047244,-0.393700787401567,-8.99386360670398E-15))!#16229=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-9.36921355310181E-15))!#16230=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16231=CARTESIAN_POINT('',(5.88582677165354,-2.50472614476469,3.29984698605954))!#16232=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16233=CARTESIAN_POINT('',(5.88582677165354,0.393700787401582,3.83737109892096))!#16234=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16235=CARTESIAN_POINT('',(5.88582677165355,0.843475336756472,3.71682840990133))!#16236=CARTESIAN_POINT('Origin',(6.16141732283465,-1.68749674424287,4.03005621289608))!#16237=CARTESIAN_POINT('',(6.16141732283465,-1.43207209495246,4.65292349823764))!#16238=CARTESIAN_POINT('Origin',(6.16141732283465,-1.1416352488416,4.30559894051533))!#16239=CARTESIAN_POINT('',(6.16141732283465,-2.57420941771446,3.69785494787703))!#16240=CARTESIAN_POINT('',(6.16141732283465,-2.57420941771446,3.69785494787703))!#16241=CARTESIAN_POINT('',(6.16141732283465,-2.50472614476469,3.29984698605954))!#16242=CARTESIAN_POINT('Origin',(5.88582677165355,-1.1416352488416,4.30559894051533))!#16243=CARTESIAN_POINT('',(5.61023622047245,-1.43207209495246,4.65292349823764))!#16244=CARTESIAN_POINT('',(5.88582677165355,-1.43207209495246,4.65292349823764))!#16245=CARTESIAN_POINT('Origin',(5.61023622047245,-1.1416352488416,4.30559894051533))!#16246=CARTESIAN_POINT('',(6.02546599132189,-0.826771653543295,3.9802547745439))!#16247=CARTESIAN_POINT('',(5.74618755198518,-0.826771653543295,3.9802547745439))!#16248=CARTESIAN_POINT('Ctrl Pts',(6.02546599132189,-0.826771653543295, 3.9802547745439))!#16249=CARTESIAN_POINT('Ctrl Pts',(6.04117591254382,-0.810434524774518, 3.99606562237524))!#16250=CARTESIAN_POINT('Ctrl Pts',(6.0549499825459,-0.793018936624594,4.0154416540603))!#16251=CARTESIAN_POINT('Ctrl Pts',(6.07633750696849,-0.758527434574506, 4.06232691989295))!#16252=CARTESIAN_POINT('Ctrl Pts',(6.08267716535433,-0.741918438341979, 4.0907061816311))!#16253=CARTESIAN_POINT('Ctrl Pts',(6.08267716535433,-0.718558985591162, 4.14234790737602))!#16254=CARTESIAN_POINT('Ctrl Pts',(6.07822099761373,-0.709470140792316, 4.16827784699006))!#16255=CARTESIAN_POINT('Ctrl Pts',(6.0585032905293,-0.696894101883503,4.2171168900585))!#16256=CARTESIAN_POINT('Ctrl Pts',(6.0432031480487,-0.693290721210707,4.2399804029578))!#16257=CARTESIAN_POINT('Ctrl Pts',(6.00770198095828,-0.689535707331829, 4.27554886689731))!#16258=CARTESIAN_POINT('Ctrl Pts',(5.98556423946605,-0.689011094400938, 4.29073896359835))!#16259=CARTESIAN_POINT('Ctrl Pts',(5.93701189026619,-0.68879814609247,4.31089065575393))!#16260=CARTESIAN_POINT('Ctrl Pts',(5.91059816962838,-0.688995476098018, 4.31585301806791))!#16261=CARTESIAN_POINT('Ctrl Pts',(5.8610553736787,-0.688995476098018,4.31585301806791))!#16262=CARTESIAN_POINT('Ctrl Pts',(5.83464165304088,-0.68879814609247,4.31089065575393))!#16263=CARTESIAN_POINT('Ctrl Pts',(5.78608930384103,-0.689011094400938, 4.29073896359835))!#16264=CARTESIAN_POINT('Ctrl Pts',(5.7639515623488,-0.68953570733183,4.27554886689731))!#16265=CARTESIAN_POINT('Ctrl Pts',(5.72845039525838,-0.693290721210707, 4.2399804029578))!#16266=CARTESIAN_POINT('Ctrl Pts',(5.71315025277778,-0.696894101883504, 4.2171168900585))!#16267=CARTESIAN_POINT('Ctrl Pts',(5.69343254569335,-0.709470140792317, 4.16827784699006))!#16268=CARTESIAN_POINT('Ctrl Pts',(5.68897637795275,-0.718558985591163, 4.14234790737602))!#16269=CARTESIAN_POINT('Ctrl Pts',(5.68897637795275,-0.741918438341981, 4.0907061816311))!#16270=CARTESIAN_POINT('Ctrl Pts',(5.69531603633859,-0.758527434574507, 4.06232691989295))!#16271=CARTESIAN_POINT('Ctrl Pts',(5.71670356076118,-0.793018936624594, 4.0154416540603))!#16272=CARTESIAN_POINT('Ctrl Pts',(5.73047763076326,-0.810434524774518, 3.99606562237524))!#16273=CARTESIAN_POINT('Ctrl Pts',(5.74618755198519,-0.826771653543295, 3.9802547745439))!#16274=CARTESIAN_POINT('',(5.88582677165355,-0.826771653543295,3.9802547745439))!#16275=CARTESIAN_POINT('Origin',(5.88582677165355,-1.43207209495246,4.65292349823764))!#16276=CARTESIAN_POINT('',(5.61023622047245,-2.57420941771446,3.69785494787703))!#16277=CARTESIAN_POINT('',(5.88582677165355,-2.57420941771446,3.69785494787703))!#16278=CARTESIAN_POINT('',(5.61023622047245,-2.57420941771446,3.69785494787703))!#16279=CARTESIAN_POINT('Origin',(5.88582677165355,-2.57420941771446,3.69785494787703))!#16280=CARTESIAN_POINT('',(5.61023622047245,-2.50472614476469,3.29984698605954))!#16281=CARTESIAN_POINT('Origin',(5.61023622047245,-1.68749674424287,4.03005621289608))!#16282=CARTESIAN_POINT('Origin',(6.27952755905512,0.670606916530481,4.27198499808049))!#16283=CARTESIAN_POINT('',(6.27952755905512,0.551181102362213,4.7095538054695))!#16284=CARTESIAN_POINT('',(6.27952755905512,0.393700787401583,3.87305968082542))!#16285=CARTESIAN_POINT('Origin',(6.27952755905512,0.551181102362213,4.27648293932777))!#16286=CARTESIAN_POINT('',(6.27952755905512,0.393700787401583,3.83737109892096))!#16287=CARTESIAN_POINT('',(6.27952755905512,0.393700787401583,3.87305968082542))!#16288=CARTESIAN_POINT('',(6.27952755905512,0.843475336756472,3.71682840990132))!#16289=CARTESIAN_POINT('Origin',(6.27952755905512,-0.393700787401566,-9.24978424376214E-15))!#16290=CARTESIAN_POINT('',(6.27952755905512,1.0236220472441,3.84341207318604))!#16291=CARTESIAN_POINT('Origin',(6.27952755905512,1.0236220472441,3.65193293657321))!#16292=CARTESIAN_POINT('',(6.27952755905512,1.0236220472441,4.71492125984251))!#16293=CARTESIAN_POINT('',(6.27952755905512,1.0236220472441,3.84341207318604))!#16294=CARTESIAN_POINT('',(6.27952755905512,1.0236220472441,4.71492125984251))!#16295=CARTESIAN_POINT('Origin',(5.88582677165355,0.393700787401582,3.87305968082542))!#16296=CARTESIAN_POINT('',(5.49212598425197,0.393700787401582,3.83737109892096))!#16297=CARTESIAN_POINT('',(5.88582677165355,0.393700787401582,3.83737109892096))!#16298=CARTESIAN_POINT('',(5.88582677165355,0.393700787401582,3.83737109892096))!#16299=CARTESIAN_POINT('',(5.49212598425197,0.393700787401582,3.87305968082543))!#16300=CARTESIAN_POINT('',(5.88582677165355,0.393700787401582,3.87305968082542))!#16301=CARTESIAN_POINT('',(5.49212598425197,0.393700787401582,3.87305968082543))!#16302=CARTESIAN_POINT('Origin',(5.88582677165354,1.0236220472441,3.65193293657321))!#16303=CARTESIAN_POINT('',(5.49212598425197,1.0236220472441,3.84341207318604))!#16304=CARTESIAN_POINT('',(5.61647241378355,1.0236220472441,3.84341207318604))!#16305=CARTESIAN_POINT('',(5.88582677165354,1.0236220472441,3.84341207318604))!#16306=CARTESIAN_POINT('',(6.15518112952352,1.0236220472441,3.84341207318604))!#16307=CARTESIAN_POINT('',(5.88582677165354,1.0236220472441,3.84341207318604))!#16308=CARTESIAN_POINT('',(5.88582677165354,1.0236220472441,3.84341207318604))!#16309=CARTESIAN_POINT('',(5.88582677165354,0.843475336756471,3.71682840990132))!#16310=CARTESIAN_POINT('',(5.49212598425197,0.843475336756471,3.71682840990133))!#16311=CARTESIAN_POINT('',(5.88582677165354,0.843475336756471,3.71682840990132))!#16312=CARTESIAN_POINT('Origin',(5.49212598425197,1.0236220472441,3.65193293657321))!#16313=CARTESIAN_POINT('Origin',(5.88582677165355,1.0236220472441,4.71492125984251))!#16314=CARTESIAN_POINT('',(6.10236220472441,1.0236220472441,4.71492125984251))!#16315=CARTESIAN_POINT('',(6.10236220472441,0.551181102362213,4.7095538054695))!#16316=CARTESIAN_POINT('',(6.10236220472441,1.02023733501774,4.71488280574864))!#16317=CARTESIAN_POINT('',(5.88582677165355,0.551181102362213,4.7095538054695))!#16318=CARTESIAN_POINT('',(5.88582677165355,1.0236220472441,4.71492125984251))!#16319=CARTESIAN_POINT('Origin',(5.49212598425197,0.67060691653048,4.2719849980805))!#16320=CARTESIAN_POINT('',(5.49212598425197,0.551181102362212,4.7095538054695))!#16321=CARTESIAN_POINT('Origin',(5.49212598425197,0.551181102362212,4.27648293932777))!#16322=CARTESIAN_POINT('',(5.49212598425197,1.0236220472441,4.71492125984251))!#16323=CARTESIAN_POINT('',(5.49212598425197,1.0236220472441,4.71492125984251))!#16324=CARTESIAN_POINT('',(5.49212598425197,1.0236220472441,3.84341207318604))!#16325=CARTESIAN_POINT('Origin',(5.49212598425197,-0.393700787401567,-8.08993668968538E-15))!#16326=CARTESIAN_POINT('Origin',(5.88582677165355,1.0236220472441,4.71492125984251))!#16327=CARTESIAN_POINT('',(5.66929133858268,0.551181102362212,4.7095538054695))!#16328=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.71492125984251))!#16329=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.71492125984251))!#16330=CARTESIAN_POINT('',(5.88582677165355,1.0236220472441,4.71492125984251))!#16331=CARTESIAN_POINT('',(5.88582677165355,0.551181102362213,4.7095538054695))!#16332=CARTESIAN_POINT('Origin',(5.88582677165355,0.551181102362213,4.27648293932777))!#16333=CARTESIAN_POINT('',(6.10236220472441,0.147757843859867,4.11900262436714))!#16334=CARTESIAN_POINT('Origin',(6.10236220472441,0.551181102362213,4.27648293932777))!#16335=CARTESIAN_POINT('',(5.66929133858268,0.147757843859867,4.11900262436714))!#16336=CARTESIAN_POINT('Ctrl Pts',(6.10236220472441,0.147757843859868,4.11900262436714))!#16337=CARTESIAN_POINT('Ctrl Pts',(6.10236220472441,0.154950285616322,4.10057747522578))!#16338=CARTESIAN_POINT('Ctrl Pts',(6.09992454062607,0.16343151362218,4.08277156498313))!#16339=CARTESIAN_POINT('Ctrl Pts',(6.09042386519264,0.18466453779192,4.04434576981143))!#16340=CARTESIAN_POINT('Ctrl Pts',(6.08076767801754,0.199951535657346,4.02183335638625))!#16341=CARTESIAN_POINT('Ctrl Pts',(6.05527798950053,0.232474996517003,3.98214463984575))!#16342=CARTESIAN_POINT('Ctrl Pts',(6.03947986444678,0.249679026785411,3.96491906901514))!#16343=CARTESIAN_POINT('Ctrl Pts',(6.00586583898958,0.280894780482535,3.93746273366835))!#16344=CARTESIAN_POINT('Ctrl Pts',(5.98513225350214,0.297710500965881,3.92498642880479))!#16345=CARTESIAN_POINT('Ctrl Pts',(5.95026810081088,0.317309800235911,3.91190608692159))!#16346=CARTESIAN_POINT('Ctrl Pts',(5.93800458902718,0.322878838794076,3.90844871334005))!#16347=CARTESIAN_POINT('Ctrl Pts',(5.912524288037,0.33066886965233,3.90372903883552))!#16348=CARTESIAN_POINT('Ctrl Pts',(5.89929934889014,0.332863454598251,3.90246719129627))!#16349=CARTESIAN_POINT('Ctrl Pts',(5.88582677165355,0.332863454598251,3.90246719129627))!#16350=CARTESIAN_POINT('Ctrl Pts',(5.87235419441695,0.332863454598251,3.90246719129627))!#16351=CARTESIAN_POINT('Ctrl Pts',(5.85912925527009,0.33066886965233,3.90372903883552))!#16352=CARTESIAN_POINT('Ctrl Pts',(5.83364895427991,0.322878838794076,3.90844871334005))!#16353=CARTESIAN_POINT('Ctrl Pts',(5.82138544249622,0.317309800235911,3.91190608692159))!#16354=CARTESIAN_POINT('Ctrl Pts',(5.78652128980495,0.297710500965881,3.92498642880479))!#16355=CARTESIAN_POINT('Ctrl Pts',(5.76578770431751,0.280894780482535,3.93746273366835))!#16356=CARTESIAN_POINT('Ctrl Pts',(5.73217367886032,0.24967902678541,3.96491906901514))!#16357=CARTESIAN_POINT('Ctrl Pts',(5.71637555380656,0.232474996517002,3.98214463984575))!#16358=CARTESIAN_POINT('Ctrl Pts',(5.69088586528955,0.199951535657345,4.02183335638625))!#16359=CARTESIAN_POINT('Ctrl Pts',(5.68122967811445,0.184664537791919,4.04434576981143))!#16360=CARTESIAN_POINT('Ctrl Pts',(5.67172900268104,0.163431513622202,4.08277156498309))!#16361=CARTESIAN_POINT('Ctrl Pts',(5.66929133858268,0.154950285616229,4.10057747522602))!#16362=CARTESIAN_POINT('Ctrl Pts',(5.66929133858268,0.147757843859867,4.11900262436714))!#16363=CARTESIAN_POINT('Origin',(5.66929133858268,0.551181102362212,4.27648293932777))!#16364=CARTESIAN_POINT('Origin',(6.10236220472441,1.0236220472441,4.11900262436714))!#16365=CARTESIAN_POINT('',(6.10236220472441,1.02362204724411,4.42508819599929))!#16366=CARTESIAN_POINT('',(6.10236220472441,1.0236220472441,3.98120734877659))!#16367=CARTESIAN_POINT('',(6.10236220472441,1.0236220472441,4.11900262436714))!#16368=CARTESIAN_POINT('',(6.10236220472441,1.0236220472441,3.98120734877659))!#16369=CARTESIAN_POINT('',(6.10236220472441,1.0236220472441,4.11900262436714))!#16370=CARTESIAN_POINT('Origin',(5.66929133858268,1.0236220472441,4.71492125984251))!#16371=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.11900262436714))!#16372=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.11900262436714))!#16373=CARTESIAN_POINT('',(5.66929133858268,1.02362204724411,4.4250881959993))!#16374=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.27916666651427))!#16375=CARTESIAN_POINT('',(5.66929133858268,1.0236220472441,4.27916666651427))!#16376=CARTESIAN_POINT('Origin',(5.88582677165355,1.0236220472441,4.11900262436714))!#16377=CARTESIAN_POINT('Origin',(5.88582677165355,1.0236220472441,4.11900262436714))!#16378=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16379=CARTESIAN_POINT('Origin',(5.88582677165354,-0.393700787401567,-8.66986046672376E-15))!#16380=CARTESIAN_POINT('Origin',(5.88582677165354,1.0236220472441,3.84341207318604))!#16381=CARTESIAN_POINT('',(6.25917236895431,1.02362204724411,3.90345144326477))!#16382=CARTESIAN_POINT('',(5.91949606638729,1.0236220472441,3.70733923854036))!#16383=CARTESIAN_POINT('',(6.25917236895431,1.02362204724411,4.33455380546949))!#16384=CARTESIAN_POINT('',(6.25917236895431,1.0236220472441,3.8734317582254))!#16385=CARTESIAN_POINT('',(6.22550307422057,1.0236220472441,4.35399278184745))!#16386=CARTESIAN_POINT('Origin',(5.88582677165354,1.0236220472441,3.84341207318604))!#16387=CARTESIAN_POINT('',(5.51248117435277,1.02362204724411,3.90345144326477))!#16388=CARTESIAN_POINT('',(5.66548467826941,1.0236220472441,3.81511482909154))!#16389=CARTESIAN_POINT('',(5.51248117435277,1.02362204724411,4.33455380546949))!#16390=CARTESIAN_POINT('',(5.7328232677369,1.0236220472441,4.46176837239863))!#16391=CARTESIAN_POINT('',(5.51248117435277,1.0236220472441,4.08898293932776))!#16392=CARTESIAN_POINT('Origin',(5.88582677165354,2.59842519685041,3.68790026216241))!#16393=CARTESIAN_POINT('',(6.25917236895431,2.57874015748033,3.90345144326477))!#16394=CARTESIAN_POINT('',(5.88582677165354,2.57874015748033,3.68790026216241))!#16395=CARTESIAN_POINT('',(5.97916317097873,2.57874015748033,3.741788057438))!#16396=CARTESIAN_POINT('',(6.25917236895431,2.59842519685041,3.90345144326477))!#16397=CARTESIAN_POINT('',(5.88582677165354,1.02362204724411,3.6879002621624))!#16398=CARTESIAN_POINT('',(5.88582677165354,1.02362204724411,3.6879002621624))!#16399=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,3.68790026216241))!#16400=CARTESIAN_POINT('Origin',(6.25917236895431,2.59842519685041,3.90345144326477))!#16401=CARTESIAN_POINT('',(6.25917236895431,2.57874015748033,4.33455380546949))!#16402=CARTESIAN_POINT('',(6.25917236895431,2.57874015748033,4.01122703381595))!#16403=CARTESIAN_POINT('',(6.25917236895431,2.59842519685041,4.33455380546949))!#16404=CARTESIAN_POINT('Origin',(6.25917236895431,2.59842519685041,4.33455380546949))!#16405=CARTESIAN_POINT('',(5.88582677165354,2.57874015748033,4.55010498657185))!#16406=CARTESIAN_POINT('',(6.16583596962912,2.57874015748033,4.38844160074508))!#16407=CARTESIAN_POINT('',(5.88582677165354,1.02362204724411,4.55010498657185))!#16408=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,4.55010498657185))!#16409=CARTESIAN_POINT('',(6.25917236895431,1.02362204724411,4.33455380546949))!#16410=CARTESIAN_POINT('Origin',(5.88582677165354,-0.826771653543295,4.11900262436713))!#16411=CARTESIAN_POINT('',(5.98425196850393,-0.826771653543295,3.94852518267728))!#16412=CARTESIAN_POINT('Origin',(5.88582677165354,-0.826771653543295,4.11900262436713))!#16413=CARTESIAN_POINT('Origin',(5.88582677165354,-0.826771653543295,4.11900262436713))!#16414=CARTESIAN_POINT('Origin',(5.88582677165354,2.59842519685041,4.11900262436713))!#16415=CARTESIAN_POINT('',(5.53216621372285,2.59842519685041,3.91481660604409))!#16416=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,3.71063058772105))!#16417=CARTESIAN_POINT('',(5.615660093363,2.59842519685041,3.86661139215816))!#16418=CARTESIAN_POINT('',(5.53216621372285,2.59842519685041,4.32318864269017))!#16419=CARTESIAN_POINT('',(5.53216621372285,2.59842519685041,4.22677821491831))!#16420=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,4.52737466101321))!#16421=CARTESIAN_POINT('',(5.80233289201338,2.59842519685041,4.47916944712728))!#16422=CARTESIAN_POINT('',(6.23948732958423,2.59842519685041,4.32318864269017))!#16423=CARTESIAN_POINT('',(6.15599344994408,2.59842519685041,4.3713938565761))!#16424=CARTESIAN_POINT('',(6.23948732958423,2.59842519685041,3.91481660604409))!#16425=CARTESIAN_POINT('',(6.23948732958423,2.59842519685041,4.01122703381595))!#16426=CARTESIAN_POINT('',(5.96932065129369,2.59842519685041,3.75883580160698))!#16427=CARTESIAN_POINT('Origin',(5.51248117435277,2.59842519685041,3.90345144326477))!#16428=CARTESIAN_POINT('',(5.51248117435277,2.57874015748033,3.90345144326477))!#16429=CARTESIAN_POINT('',(5.60581757367796,2.57874015748033,3.84956364798918))!#16430=CARTESIAN_POINT('',(5.51248117435277,1.02362204724411,3.90345144326477))!#16431=CARTESIAN_POINT('',(5.51248117435277,2.59842519685041,3.90345144326477))!#16432=CARTESIAN_POINT('Origin',(5.51248117435277,2.59842519685041,4.33455380546949))!#16433=CARTESIAN_POINT('',(5.51248117435277,2.57874015748033,4.33455380546949))!#16434=CARTESIAN_POINT('',(5.51248117435277,2.57874015748033,4.22677821491831))!#16435=CARTESIAN_POINT('',(5.51248117435277,2.59842519685041,4.33455380546949))!#16436=CARTESIAN_POINT('Origin',(5.88582677165354,2.59842519685041,4.55010498657185))!#16437=CARTESIAN_POINT('',(5.79249037232835,2.57874015748033,4.49621719129626))!#16438=CARTESIAN_POINT('',(5.88582677165354,1.02362204724411,4.55010498657185))!#16439=CARTESIAN_POINT('Origin',(5.79741163217086,2.58858267716537,4.48769331921177))!#16440=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,4.52737466101321))!#16441=CARTESIAN_POINT('',(5.53216621372285,2.59842519685041,4.32318864269017))!#16442=CARTESIAN_POINT('Origin',(6.1609147097866,2.58858267716537,4.37991772866059))!#16443=CARTESIAN_POINT('',(6.23948732958423,2.59842519685041,4.32318864269017))!#16444=CARTESIAN_POINT('Origin',(5.52232369403781,2.58858267716537,4.22677821491831))!#16445=CARTESIAN_POINT('',(5.51248117435277,2.57874015748033,3.90345144326477))!#16446=CARTESIAN_POINT('Origin',(6.24932984926927,2.58858267716537,4.01122703381595))!#16447=CARTESIAN_POINT('',(6.23948732958423,2.59842519685041,3.91481660604409))!#16448=CARTESIAN_POINT('Origin',(5.97424191113621,2.58858267716537,3.75031192952249))!#16449=CARTESIAN_POINT('',(5.88582677165354,2.59842519685041,3.71063058772105))!#16450=CARTESIAN_POINT('Origin',(5.61073883352048,2.58858267716537,3.85808752007367))!#16451=CARTESIAN_POINT('Origin',(5.88582677165354,1.02362204724411,4.11900262436713))!#16452=CARTESIAN_POINT('Origin',(5.88582677165354,1.02362204724411,4.11900262436713))!#16453=CARTESIAN_POINT('',(5.98425196850393,1.02362204724411,3.94852518267728))!#16454=CARTESIAN_POINT('Origin',(5.88582677165354,1.02362204724411,4.11900262436713))!#16455=CARTESIAN_POINT('Origin',(5.88582677165354,1.02362204724411,4.11900262436713))!#16456=CARTESIAN_POINT('',(5.98425196850393,1.02362204724411,3.94852518267728))!#16457=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16458=CARTESIAN_POINT('',(6.33954232283465,-0.143700787401569,-4.37007874015748))!#16459=CARTESIAN_POINT('',(6.33954232283465,-0.268700787401569,-4.15357238921137))!#16460=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16461=CARTESIAN_POINT('',(6.33954232283465,-0.518700787401568,-4.15357238921137))!#16462=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16463=CARTESIAN_POINT('',(6.33954232283465,-0.643700787401569,-4.37007874015748))!#16464=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16465=CARTESIAN_POINT('',(6.33954232283465,-0.518700787401569,-4.58658509110359))!#16466=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16467=CARTESIAN_POINT('',(6.33954232283465,-0.268700787401569,-4.58658509110359))!#16468=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16469=CARTESIAN_POINT('Origin',(6.33954232283465,-0.393700787401569,-4.37007874015748))!#16470=CARTESIAN_POINT('Origin',(6.16141732283464,-0.393700787401569,-4.65875387475229))!#16471=CARTESIAN_POINT('',(6.31721322346539,-0.643700787401568,-4.51441630745489))!#16472=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.518700787401569, -4.58658509110359))!#16473=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.576713489293788, -4.5530914420497))!#16474=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.643700787401568, -4.51441630745489))!#16475=CARTESIAN_POINT('',(6.16141732283465,-0.643700787401569,-4.51441630745488))!#16476=CARTESIAN_POINT('',(6.31721322346539,-0.393700787401568,-4.65875387475229))!#16477=CARTESIAN_POINT('',(6.16141732283464,-0.393700787401569,-4.65875387475229))!#16478=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.39370078740157,-4.65875387475229))!#16479=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.46068808550935,-4.62007874015748))!#16480=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.518700787401569, -4.58658509110359))!#16481=CARTESIAN_POINT('Origin',(6.16141732283464,-0.14370078740157,-4.51441630745489))!#16482=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.268700787401569, -4.58658509110359))!#16483=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.326713489293788, -4.62007874015748))!#16484=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.393700787401568, -4.65875387475229))!#16485=CARTESIAN_POINT('',(6.31721322346539,-0.143700787401569,-4.51441630745488))!#16486=CARTESIAN_POINT('',(6.16141732283464,-0.14370078740157,-4.51441630745489))!#16487=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.14370078740157,-4.51441630745489))!#16488=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.21068808550935,-4.5530914420497))!#16489=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.268700787401569, -4.58658509110359))!#16490=CARTESIAN_POINT('Origin',(6.16141732283465,-0.143700787401569,-4.22574117286007))!#16491=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.143700787401569, -4.37007874015748))!#16492=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.143700787401569, -4.43706603826526))!#16493=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.143700787401569, -4.51441630745488))!#16494=CARTESIAN_POINT('',(6.31721322346539,-0.143700787401569,-4.22574117286007))!#16495=CARTESIAN_POINT('',(6.16141732283465,-0.143700787401569,-4.22574117286007))!#16496=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.143700787401569, -4.22574117286007))!#16497=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.143700787401569, -4.3030914420497))!#16498=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.143700787401569, -4.37007874015748))!#16499=CARTESIAN_POINT('Origin',(6.16141732283465,-0.393700787401569,-4.08140360556267))!#16500=CARTESIAN_POINT('',(6.31721322346539,-0.393700787401569,-4.08140360556267))!#16501=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.393700787401567, -4.08140360556267))!#16502=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.326713489293787, -4.12007874015748))!#16503=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.268700787401569, -4.15357238921137))!#16504=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.268700787401569, -4.15357238921137))!#16505=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.21068808550935,-4.18706603826526))!#16506=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.14370078740157,-4.22574117286007))!#16507=CARTESIAN_POINT('',(6.16141732283465,-0.393700787401569,-4.08140360556267))!#16508=CARTESIAN_POINT('Origin',(6.16141732283465,-0.643700787401569,-4.22574117286007))!#16509=CARTESIAN_POINT('',(6.31721322346539,-0.643700787401568,-4.22574117286007))!#16510=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.643700787401567, -4.22574117286007))!#16511=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.576713489293787, -4.18706603826526))!#16512=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.518700787401568, -4.15357238921137))!#16513=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.518700787401568, -4.15357238921137))!#16514=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.46068808550935,-4.12007874015748))!#16515=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.393700787401569, -4.08140360556267))!#16516=CARTESIAN_POINT('',(6.16141732283465,-0.643700787401569,-4.22574117286007))!#16517=CARTESIAN_POINT('Origin',(6.16141732283465,-0.643700787401569,-4.51441630745488))!#16518=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.643700787401569, -4.51441630745488))!#16519=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.643700787401569, -4.43706603826526))!#16520=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.643700787401569, -4.37007874015748))!#16521=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.643700787401569, -4.37007874015748))!#16522=CARTESIAN_POINT('Ctrl Pts',(6.33954232283465,-0.643700787401569, -4.3030914420497))!#16523=CARTESIAN_POINT('Ctrl Pts',(6.31721322346539,-0.643700787401568, -4.22574117286007))!#16524=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16525=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16526=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16527=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16528=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16529=CARTESIAN_POINT('Origin',(6.32837777315002,-0.393700787401569,-4.37007874015748))!#16530=CARTESIAN_POINT('Origin',(-5.296,1.90190904429631E-61,-4.25000000000002))!#16531=CARTESIAN_POINT('',(-5.296,1.90190904429631E-61,-6.00000000000001))!#16532=CARTESIAN_POINT('',(-5.296,-7.34788079488413E-16,6.00000000000001))!#16533=CARTESIAN_POINT('Origin',(-5.296,1.90190904429631E-61,-1.17594822768297E-15))!#16534=CARTESIAN_POINT('Origin',(-5.296,1.90190904429631E-61,-1.17594822768297E-15))!#16535=CARTESIAN_POINT('',(-5.296,-4.52755905511811,-1.42727824310008E-15))!#16536=CARTESIAN_POINT('',(-5.296,4.52755905511811,-3.70152141785754E-16))!#16537=CARTESIAN_POINT('Origin',(-5.296,-2.66900527726105E-32,-1.17594822768297E-15))!#16538=CARTESIAN_POINT('Origin',(-5.296,-2.66900527726105E-32,-1.17594822768297E-15))!#16539=CARTESIAN_POINT('Origin',(-6.576,1.77744873873909E-61,-1.46016532198701E-15))!#16540=CARTESIAN_POINT('',(-7.856,-7.34788079488413E-16,6.00000000000001))!#16541=CARTESIAN_POINT('',(-6.576,-7.34788079488413E-16,6.00000000000001))!#16542=CARTESIAN_POINT('',(-7.856,1.65298843318187E-61,-6.00000000000001))!#16543=CARTESIAN_POINT('Origin',(-7.856,1.65298843318187E-61,-1.74438241629105E-15))!#16544=CARTESIAN_POINT('Origin',(-7.856,1.65298843318187E-61,-1.74438241629105E-15))!#16545=CARTESIAN_POINT('Origin',(-7.856,1.65298843318187E-61,-6.00000000000001))!#16546=CARTESIAN_POINT('',(-7.856,1.65298843318187E-61,-6.155))!#16547=CARTESIAN_POINT('',(-7.856,-7.53770104875196E-16,6.155))!#16548=CARTESIAN_POINT('Origin',(-7.856,1.65298843318187E-61,-1.74438241629105E-15))!#16549=CARTESIAN_POINT('Origin',(-7.856,1.65298843318187E-61,-1.74438241629105E-15))!#16550=CARTESIAN_POINT('Origin',(-14.331,1.02339430936701E-61,-3.18212123318062E-15))!#16551=CARTESIAN_POINT('',(-16.446,-7.53770104875196E-16,6.155))!#16552=CARTESIAN_POINT('',(-14.331,-7.53770104875196E-16,6.155))!#16553=CARTESIAN_POINT('',(-16.446,-2.601215401014,5.57832442921058))!#16554=CARTESIAN_POINT('Origin',(-16.446,8.17743101356441E-62,-3.65174557259706E-15))!#16555=CARTESIAN_POINT('',(-20.446,-2.601215401014,5.57832442921058))!#16556=CARTESIAN_POINT('',(-14.331,-2.601215401014,5.57832442921058))!#16557=CARTESIAN_POINT('',(-20.446,-7.53770104875196E-16,6.155))!#16558=CARTESIAN_POINT('Origin',(-20.446,4.28804646490119E-62,-4.53992399229719E-15))!#16559=CARTESIAN_POINT('',(-20.806,-7.53770104875196E-16,6.155))!#16560=CARTESIAN_POINT('',(-14.331,-7.53770104875196E-16,6.155))!#16561=CARTESIAN_POINT('',(-20.806,3.9380018555215E-62,-6.155))!#16562=CARTESIAN_POINT('Origin',(-20.806,3.9380018555215E-62,-4.6198600500702E-15))!#16563=CARTESIAN_POINT('Origin',(-20.806,3.9380018555215E-62,-4.6198600500702E-15))!#16564=CARTESIAN_POINT('',(-20.446,2.601215401014,5.57832442921058))!#16565=CARTESIAN_POINT('Origin',(-20.446,4.28804646490119E-62,-4.53992399229719E-15))!#16566=CARTESIAN_POINT('',(-16.446,2.601215401014,5.57832442921058))!#16567=CARTESIAN_POINT('',(-14.331,2.601215401014,5.57832442921058))!#16568=CARTESIAN_POINT('Origin',(-16.446,8.17743101356441E-62,-3.65174557259706E-15))!#16569=CARTESIAN_POINT('',(-14.181,6.07073970833381,-1.01496029166619))!#16570=CARTESIAN_POINT('',(-13.2503,6.155,-2.98763431987136E-15))!#16571=CARTESIAN_POINT('Ctrl Pts',(-14.181,6.07073970833381,-1.01496029166619))!#16572=CARTESIAN_POINT('Ctrl Pts',(-14.1191529855844,6.07073970833381,-1.01496029166619))!#16573=CARTESIAN_POINT('Ctrl Pts',(-14.0556278797127,6.07190659022962,-1.00805910569562))!#16574=CARTESIAN_POINT('Ctrl Pts',(-13.9302917516401,6.07656179592444,-0.979606829670667))!#16575=CARTESIAN_POINT('Ctrl Pts',(-13.8684783393238,6.08004451595524,-0.958065352678622))!#16576=CARTESIAN_POINT('Ctrl Pts',(-13.7510490556335,6.08862098605478,-0.901956125745862))!#16577=CARTESIAN_POINT('Ctrl Pts',(-13.6953130386605,6.09371267414018,-0.867338009814961))!#16578=CARTESIAN_POINT('Ctrl Pts',(-13.5933101882222,6.10442063677293,-0.788452958028562))!#16579=CARTESIAN_POINT('Ctrl Pts',(-13.5470435341077,6.11002482914923,-0.744184150686029))!#16580=CARTESIAN_POINT('Ctrl Pts',(-13.4669587771657,6.12052250249486,-0.65217285172142))!#16581=CARTESIAN_POINT('Ctrl Pts',(-13.4301437985893,6.12579847810102,-0.60136146593522))!#16582=CARTESIAN_POINT('Ctrl Pts',(-13.3656546123261,6.13555599441021,-0.491930123453881))!#16583=CARTESIAN_POINT('Ctrl Pts',(-13.3379748208955,6.14002939416677,-0.433312731670012))!#16584=CARTESIAN_POINT('Ctrl Pts',(-13.2937167240245,6.14739043535699,-0.311948251493835))!#16585=CARTESIAN_POINT('Ctrl Pts',(-13.2771108457625,6.15027763670107,-0.24912350675029))!#16586=CARTESIAN_POINT('Ctrl Pts',(-13.2554002172182,6.15408314891607,-0.12344280596116))!#16587=CARTESIAN_POINT('Ctrl Pts',(-13.2503,6.155,-0.0605860226997416))!#16588=CARTESIAN_POINT('Ctrl Pts',(-13.2503,6.155,-3.00503279303069E-15))!#16589=CARTESIAN_POINT('Ctrl Pts',(-13.2503,6.155,-3.14708888870123E-15))!#16590=CARTESIAN_POINT('Ctrl Pts',(-13.2503,6.155,0.0605860226997354))!#16591=CARTESIAN_POINT('Ctrl Pts',(-13.2554002172182,6.15408314891607,0.123442805961154))!#16592=CARTESIAN_POINT('Ctrl Pts',(-13.2771108457625,6.15027763670107,0.249123506750284))!#16593=CARTESIAN_POINT('Ctrl Pts',(-13.2937167240245,6.14739043535699,0.311948251493829))!#16594=CARTESIAN_POINT('Ctrl Pts',(-13.3379748208955,6.14002939416677,0.433312731670005))!#16595=CARTESIAN_POINT('Ctrl Pts',(-13.3656546123261,6.13555599441021,0.491930123453874))!#16596=CARTESIAN_POINT('Ctrl Pts',(-13.4301437985893,6.12579847810102,0.601361465935213))!#16597=CARTESIAN_POINT('Ctrl Pts',(-13.4669587771657,6.12052250249486,0.652172851721414))!#16598=CARTESIAN_POINT('Ctrl Pts',(-13.5470435341077,6.11002482914923,0.744184150686023))!#16599=CARTESIAN_POINT('Ctrl Pts',(-13.5933101882222,6.10442063677293,0.788452958028555))!#16600=CARTESIAN_POINT('Ctrl Pts',(-13.6953130386605,6.09371267414018,0.867338009814955))!#16601=CARTESIAN_POINT('Ctrl Pts',(-13.7510490556335,6.08862098605478,0.901956125745855))!#16602=CARTESIAN_POINT('Ctrl Pts',(-13.8684783393238,6.08004451595524,0.958065352678615))!#16603=CARTESIAN_POINT('Ctrl Pts',(-13.9302917516401,6.07656179592444,0.979606829670661))!#16604=CARTESIAN_POINT('Ctrl Pts',(-14.0556278797127,6.07190659022962,1.00805910569561))!#16605=CARTESIAN_POINT('Ctrl Pts',(-14.1191529855844,6.07073970833381,1.01496029166618))!#16606=CARTESIAN_POINT('Ctrl Pts',(-14.2428470144156,6.07073970833381,1.01496029166618))!#16607=CARTESIAN_POINT('Ctrl Pts',(-14.3063721202873,6.07190659022962,1.00805910569561))!#16608=CARTESIAN_POINT('Ctrl Pts',(-14.4317082483599,6.07656179592444,0.979606829670661))!#16609=CARTESIAN_POINT('Ctrl Pts',(-14.4935216606762,6.08004451595524,0.958065352678615))!#16610=CARTESIAN_POINT('Ctrl Pts',(-14.6109509443665,6.08862098605478,0.901956125745855))!#16611=CARTESIAN_POINT('Ctrl Pts',(-14.6666869613395,6.09371267414018,0.867338009814955))!#16612=CARTESIAN_POINT('Ctrl Pts',(-14.7686898117778,6.10442063677293,0.788452958028556))!#16613=CARTESIAN_POINT('Ctrl Pts',(-14.8149564658923,6.11002482914923,0.744184150686024))!#16614=CARTESIAN_POINT('Ctrl Pts',(-14.8950412228343,6.12052250249486,0.652172851721415))!#16615=CARTESIAN_POINT('Ctrl Pts',(-14.9318562014106,6.12579847810102,0.601361465935214))!#16616=CARTESIAN_POINT('Ctrl Pts',(-14.9963453876739,6.13555599441021,0.491930123453875))!#16617=CARTESIAN_POINT('Ctrl Pts',(-15.0240251791045,6.14002939416677,0.433312731670006))!#16618=CARTESIAN_POINT('Ctrl Pts',(-15.0682832759755,6.14739043535699,0.311948251493829))!#16619=CARTESIAN_POINT('Ctrl Pts',(-15.0848891542375,6.15027763670107,0.249123506750284))!#16620=CARTESIAN_POINT('Ctrl Pts',(-15.1065997827818,6.15408314891607,0.123442805961153))!#16621=CARTESIAN_POINT('Ctrl Pts',(-15.1117,6.155,0.0605860226997354))!#16622=CARTESIAN_POINT('Ctrl Pts',(-15.1117,6.155,-0.0605860226997417))!#16623=CARTESIAN_POINT('Ctrl Pts',(-15.1065997827818,6.15408314891607,-0.12344280596116))!#16624=CARTESIAN_POINT('Ctrl Pts',(-15.0848891542375,6.15027763670107,-0.249123506750291))!#16625=CARTESIAN_POINT('Ctrl Pts',(-15.0682832759755,6.14739043535699,-0.311948251493836))!#16626=CARTESIAN_POINT('Ctrl Pts',(-15.0240251791045,6.14002939416677,-0.433312731670012))!#16627=CARTESIAN_POINT('Ctrl Pts',(-14.9963453876739,6.13555599441021,-0.491930123453881))!#16628=CARTESIAN_POINT('Ctrl Pts',(-14.9318562014106,6.12579847810102,-0.601361465935221))!#16629=CARTESIAN_POINT('Ctrl Pts',(-14.8950412228343,6.12052250249486,-0.65217285172142))!#16630=CARTESIAN_POINT('Ctrl Pts',(-14.8149564658923,6.11002482914923,-0.744184150686029))!#16631=CARTESIAN_POINT('Ctrl Pts',(-14.7686898117778,6.10442063677293,-0.788452958028561))!#16632=CARTESIAN_POINT('Ctrl Pts',(-14.6666869613395,6.09371267414018,-0.86733800981496))!#16633=CARTESIAN_POINT('Ctrl Pts',(-14.6109509443665,6.08862098605478,-0.901956125745862))!#16634=CARTESIAN_POINT('Ctrl Pts',(-14.4935216606762,6.08004451595524,-0.958065352678622))!#16635=CARTESIAN_POINT('Ctrl Pts',(-14.4317082483599,6.07656179592444,-0.979606829670666))!#16636=CARTESIAN_POINT('Ctrl Pts',(-14.3063721202873,6.07190659022962,-1.00805910569562))!#16637=CARTESIAN_POINT('Ctrl Pts',(-14.2428470144156,6.07073970833381,-1.01496029166619))!#16638=CARTESIAN_POINT('Ctrl Pts',(-14.181,6.07073970833381,-1.01496029166619))!#16639=CARTESIAN_POINT('',(-20.416,-5.44615697562767,2.86764697911408))!#16640=CARTESIAN_POINT('',(-9.166,-5.44615697562767,2.86764697911408))!#16641=CARTESIAN_POINT('',(-9.166,-5.44615697562767,2.86764697911408))!#16642=CARTESIAN_POINT('',(-9.166,-5.44615697562767,-2.86764697911408))!#16643=CARTESIAN_POINT('Origin',(-9.166,1.52561108921314E-61,-2.03526084874284E-15))!#16644=CARTESIAN_POINT('',(-20.416,-5.44615697562767,-2.86764697911408))!#16645=CARTESIAN_POINT('',(-9.166,-5.44615697562767,-2.86764697911408))!#16646=CARTESIAN_POINT('Origin',(-20.416,4.31721684901616E-62,-4.53326265414944E-15))!#16647=CARTESIAN_POINT('Origin',(-20.806,3.9380018555215E-62,-6.155))!#16648=CARTESIAN_POINT('',(-20.806,3.9380018555215E-62,-6.25000000000001))!#16649=CARTESIAN_POINT('',(-20.806,-7.65404249467096E-16,6.25))!#16650=CARTESIAN_POINT('Origin',(-20.806,3.9380018555215E-62,-4.6198600500702E-15))!#16651=CARTESIAN_POINT('Origin',(-20.806,3.9380018555215E-62,-4.6198600500702E-15))!#16652=CARTESIAN_POINT('Origin',(-9.166,-6.27,3.59687174594941))!#16653=CARTESIAN_POINT('',(-20.416,-6.27,3.59687174594941))!#16654=CARTESIAN_POINT('',(-20.416,-6.27,5.575))!#16655=CARTESIAN_POINT('',(-9.166,-6.27,3.59687174594941))!#16656=CARTESIAN_POINT('',(-9.166,-6.27,3.59687174594941))!#16657=CARTESIAN_POINT('',(-9.166,-6.27,5.575))!#16658=CARTESIAN_POINT('Origin',(-9.166,-6.27,5.575))!#16659=CARTESIAN_POINT('',(-19.916,-6.02,5.575))!#16660=CARTESIAN_POINT('',(-19.916,-5.57201259142517,5.575))!#16661=CARTESIAN_POINT('',(-9.66600000000001,-6.02,5.575))!#16662=CARTESIAN_POINT('',(-9.66600000000001,-5.57201259142517,5.575))!#16663=CARTESIAN_POINT('',(-9.166,-6.02,5.575))!#16664=CARTESIAN_POINT('Origin',(-9.166,-5.9,3.59687174594941))!#16665=CARTESIAN_POINT('',(-9.166,-5.98029567276306,3.50769436689212))!#16666=CARTESIAN_POINT('',(-9.166,-6.02,3.59687174594941))!#16667=CARTESIAN_POINT('Origin',(-9.166,-5.9,3.59687174594941))!#16668=CARTESIAN_POINT('',(-20.416,-5.98029567276306,3.50769436689212))!#16669=CARTESIAN_POINT('',(-9.166,-5.98029567276306,3.50769436689212))!#16670=CARTESIAN_POINT('',(-20.416,-6.02,3.59687174594941))!#16671=CARTESIAN_POINT('Origin',(-20.416,-5.9,3.59687174594941))!#16672=CARTESIAN_POINT('',(-9.166,-6.02,3.59687174594941))!#16673=CARTESIAN_POINT('Origin',(-9.166,-5.98029567276306,3.50769436689212))!#16674=CARTESIAN_POINT('',(-9.166,-5.60699442397142,3.17157241273869))!#16675=CARTESIAN_POINT('',(-9.166,-5.60699442397142,3.17157241273869))!#16676=CARTESIAN_POINT('',(-20.416,-5.60699442397142,3.17157241273869))!#16677=CARTESIAN_POINT('',(-9.166,-5.60699442397142,3.17157241273869))!#16678=CARTESIAN_POINT('',(-20.416,-5.60699442397142,3.17157241273869))!#16679=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,-2.8))!#16680=CARTESIAN_POINT('',(-9.166,-5.60699442397142,-3.1715724127387))!#16681=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,-2.8))!#16682=CARTESIAN_POINT('',(-20.416,-5.60699442397142,-3.1715724127387))!#16683=CARTESIAN_POINT('',(-9.166,-5.60699442397142,-3.1715724127387))!#16684=CARTESIAN_POINT('Origin',(-20.416,-5.94155972715085,-2.80000000000001))!#16685=CARTESIAN_POINT('Origin',(-9.166,-5.60699442397142,-3.1715724127387))!#16686=CARTESIAN_POINT('',(-9.166,-5.98029567276306,-3.50769436689213))!#16687=CARTESIAN_POINT('',(-9.166,-5.98029567276306,-3.50769436689213))!#16688=CARTESIAN_POINT('',(-20.416,-5.98029567276306,-3.50769436689213))!#16689=CARTESIAN_POINT('',(-9.166,-5.98029567276306,-3.50769436689213))!#16690=CARTESIAN_POINT('',(-20.416,-5.98029567276306,-3.50769436689213))!#16691=CARTESIAN_POINT('Origin',(-9.166,-5.9,-3.59687174594942))!#16692=CARTESIAN_POINT('',(-9.166,-6.02,-3.59687174594942))!#16693=CARTESIAN_POINT('Origin',(-9.166,-5.9,-3.59687174594942))!#16694=CARTESIAN_POINT('',(-20.416,-6.02,-3.59687174594942))!#16695=CARTESIAN_POINT('',(-9.166,-6.02,-3.59687174594942))!#16696=CARTESIAN_POINT('Origin',(-20.416,-5.9,-3.59687174594942))!#16697=CARTESIAN_POINT('Origin',(-9.166,-6.02,-3.59687174594942))!#16698=CARTESIAN_POINT('',(-20.416,-6.02,-5.075))!#16699=CARTESIAN_POINT('',(-19.916,-6.02,-5.575))!#16700=CARTESIAN_POINT('Origin',(-19.916,-6.02,-5.075))!#16701=CARTESIAN_POINT('',(-20.416,-6.02,-5.575))!#16702=CARTESIAN_POINT('',(-9.166,-6.02,-5.075))!#16703=CARTESIAN_POINT('',(-9.166,-6.02,-5.575))!#16704=CARTESIAN_POINT('',(-9.666,-6.02,-5.575))!#16705=CARTESIAN_POINT('Origin',(-9.666,-6.02,-5.075))!#16706=CARTESIAN_POINT('',(-9.166,-6.02,-5.575))!#16707=CARTESIAN_POINT('',(-9.421,-6.02,-5.21650635094611))!#16708=CARTESIAN_POINT('',(-9.421,-6.02,-4.78349364905389))!#16709=CARTESIAN_POINT('',(-9.421,-6.02,-4.40668904844776))!#16710=CARTESIAN_POINT('',(-9.796,-6.02,-4.56698729810778))!#16711=CARTESIAN_POINT('',(-9.58228617819387,-6.02,-4.69037503065709))!#16712=CARTESIAN_POINT('',(-10.171,-6.02,-4.78349364905389))!#16713=CARTESIAN_POINT('',(-9.34971382180612,-6.02,-4.30932385299194))!#16714=CARTESIAN_POINT('',(-10.171,-6.02,-5.21650635094611))!#16715=CARTESIAN_POINT('',(-10.171,-6.02,-4.19018269750165))!#16716=CARTESIAN_POINT('',(-9.796,-6.02,-5.43301270189222))!#16717=CARTESIAN_POINT('',(-10.1447861781939,-6.02,-5.23164090802236))!#16718=CARTESIAN_POINT('',(-9.16221382180612,-6.02,-5.06709608130332))!#16719=CARTESIAN_POINT('Origin',(-9.166,-6.02,-5.575))!#16720=CARTESIAN_POINT('',(-19.916,-5.44701259142517,-5.575))!#16721=CARTESIAN_POINT('',(-9.666,-5.44701259142517,-5.575))!#16722=CARTESIAN_POINT('Origin',(-9.166,-5.9,-3.59687174594942))!#16723=CARTESIAN_POINT('',(-9.166,-6.14757832435278,-3.32190816052278))!#16724=CARTESIAN_POINT('Origin',(-9.166,-5.9,-3.59687174594942))!#16725=CARTESIAN_POINT('',(-20.416,-6.14757832435278,-3.32190816052278))!#16726=CARTESIAN_POINT('',(-9.166,-6.14757832435278,-3.32190816052278))!#16727=CARTESIAN_POINT('Origin',(-20.416,-5.9,-3.59687174594942))!#16728=CARTESIAN_POINT('Origin',(-9.166,-6.14757832435278,-3.32190816052278))!#16729=CARTESIAN_POINT('',(-9.166,-5.77427707556113,-2.98578620636935))!#16730=CARTESIAN_POINT('',(-9.166,-5.77427707556113,-2.98578620636935))!#16731=CARTESIAN_POINT('',(-20.416,-5.77427707556113,-2.98578620636935))!#16732=CARTESIAN_POINT('',(-9.166,-5.77427707556113,-2.98578620636935))!#16733=CARTESIAN_POINT('',(-20.416,-5.77427707556113,-2.98578620636935))!#16734=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,-2.8))!#16735=CARTESIAN_POINT('',(-9.166,-5.70663657195437,-2.71449496416859))!#16736=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,-2.8))!#16737=CARTESIAN_POINT('',(-20.416,-5.70663657195437,-2.71449496416859))!#16738=CARTESIAN_POINT('',(-9.166,-5.70663657195437,-2.71449496416859))!#16739=CARTESIAN_POINT('Origin',(-20.416,-5.94155972715085,-2.80000000000001))!#16740=CARTESIAN_POINT('Origin',(-9.166,-6.27,-1.16666666666667))!#16741=CARTESIAN_POINT('',(-9.166,-6.27,-1.0256050820999))!#16742=CARTESIAN_POINT('',(-20.416,-6.27,-1.0256050820999))!#16743=CARTESIAN_POINT('',(-9.166,-6.27,-1.0256050820999))!#16744=CARTESIAN_POINT('',(-9.166,-6.27,1.02560508209989))!#16745=CARTESIAN_POINT('',(-9.166,-6.27,1.16666666666666))!#16746=CARTESIAN_POINT('',(-20.416,-6.27,1.02560508209989))!#16747=CARTESIAN_POINT('',(-9.166,-6.27,1.02560508209989))!#16748=CARTESIAN_POINT('',(-20.416,-6.27,1.16666666666666))!#16749=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,2.8))!#16750=CARTESIAN_POINT('',(-9.166,-5.77427707556113,2.98578620636935))!#16751=CARTESIAN_POINT('',(-9.166,-5.70663657195437,2.71449496416858))!#16752=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,2.8))!#16753=CARTESIAN_POINT('',(-20.416,-5.77427707556113,2.98578620636934))!#16754=CARTESIAN_POINT('',(-9.166,-5.77427707556113,2.98578620636935))!#16755=CARTESIAN_POINT('',(-20.416,-5.70663657195437,2.71449496416858))!#16756=CARTESIAN_POINT('Origin',(-20.416,-5.94155972715085,2.79999999999999))!#16757=CARTESIAN_POINT('',(-9.166,-5.70663657195437,2.71449496416858))!#16758=CARTESIAN_POINT('Origin',(-9.166,-5.77427707556113,2.98578620636935))!#16759=CARTESIAN_POINT('',(-9.166,-6.14757832435278,3.32190816052278))!#16760=CARTESIAN_POINT('',(-9.166,-6.14757832435278,3.32190816052278))!#16761=CARTESIAN_POINT('',(-20.416,-6.14757832435278,3.32190816052277))!#16762=CARTESIAN_POINT('',(-9.166,-6.14757832435278,3.32190816052278))!#16763=CARTESIAN_POINT('',(-20.416,-6.14757832435278,3.32190816052277))!#16764=CARTESIAN_POINT('Origin',(-9.166,-5.9,3.59687174594941))!#16765=CARTESIAN_POINT('Origin',(-9.166,-5.9,3.59687174594941))!#16766=CARTESIAN_POINT('Origin',(-20.416,-5.9,3.59687174594941))!#16767=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,2.8))!#16768=CARTESIAN_POINT('Origin',(-20.416,-5.94155972715085,2.79999999999999))!#16769=CARTESIAN_POINT('Origin',(-9.166,-5.94155972715085,2.8))!#16770=CARTESIAN_POINT('Origin',(-16.446,8.75958815009819E-17,7.73325813548127))!#16771=CARTESIAN_POINT('',(-16.446,-1.704,6.01842246772358))!#16772=CARTESIAN_POINT('',(-16.446,-2.64347722718807,5.66895520791424))!#16773=CARTESIAN_POINT('Origin',(-16.446,8.17743101356441E-62,-3.65174557259706E-15))!#16774=CARTESIAN_POINT('',(-16.446,-2.601215401014,5.57832442921058))!#16775=CARTESIAN_POINT('',(-16.446,2.64347722718807,5.66895520791424))!#16776=CARTESIAN_POINT('',(-16.446,2.64347722718807,5.66895520791424))!#16777=CARTESIAN_POINT('',(-16.446,1.704,6.01842246772358))!#16778=CARTESIAN_POINT('Origin',(-16.446,8.17743101356441E-62,-3.65174557259706E-15))!#16779=CARTESIAN_POINT('',(-16.446,1.704,6.51333333333333))!#16780=CARTESIAN_POINT('',(-16.446,1.704,6.83760992429289))!#16781=CARTESIAN_POINT('',(-16.446,2.1925,6.51333333333333))!#16782=CARTESIAN_POINT('',(-16.446,2.4425,6.51333333333333))!#16783=CARTESIAN_POINT('',(-16.446,2.1925,9.645))!#16784=CARTESIAN_POINT('',(-16.446,2.1925,7.1232957344073))!#16785=CARTESIAN_POINT('',(-16.446,-2.1925,9.645))!#16786=CARTESIAN_POINT('',(-16.446,1.22125,9.645))!#16787=CARTESIAN_POINT('',(-16.446,-2.1925,6.51333333333333))!#16788=CARTESIAN_POINT('',(-16.446,-2.1925,8.75162906774063))!#16789=CARTESIAN_POINT('',(-16.446,-1.704,6.51333333333333))!#16790=CARTESIAN_POINT('',(-16.446,-1.954,6.51333333333333))!#16791=CARTESIAN_POINT('',(-16.446,-1.704,7.1232957344073))!#16792=CARTESIAN_POINT('Origin',(-18.446,2.601215401014,5.57832442921058))!#16793=CARTESIAN_POINT('',(-20.446,2.64347722718807,5.66895520791424))!#16794=CARTESIAN_POINT('',(-18.446,2.64347722718807,5.66895520791424))!#16795=CARTESIAN_POINT('',(-20.446,2.64347722718807,5.66895520791424))!#16796=CARTESIAN_POINT('Origin',(-18.446,6.2327387392328E-62,-4.09583478244713E-15))!#16797=CARTESIAN_POINT('',(-20.446,1.704,6.01842246772358))!#16798=CARTESIAN_POINT('Origin',(-20.446,4.28804646490119E-62,-4.53992399229719E-15))!#16799=CARTESIAN_POINT('',(-20.196,1.954,5.94196171310452))!#16800=CARTESIAN_POINT('Ctrl Pts',(-20.446,1.704,6.01842246772358))!#16801=CARTESIAN_POINT('Ctrl Pts',(-20.446,1.73560568215534,6.00947392968366))!#16802=CARTESIAN_POINT('Ctrl Pts',(-20.4396541394786,1.7693105750612,5.99963471404375))!#16803=CARTESIAN_POINT('Ctrl Pts',(-20.4137065921609,1.83142311504269,5.98096697756384))!#16804=CARTESIAN_POINT('Ctrl Pts',(-20.3941023006614,1.85983373461989,5.97215197013735))!#16805=CARTESIAN_POINT('Ctrl Pts',(-20.3493931218717,1.90376872208391,5.95828799255884))!#16806=CARTESIAN_POINT('Ctrl Pts',(-20.3216832092834,1.92261449348244,5.95220572341942))!#16807=CARTESIAN_POINT('Ctrl Pts',(-20.2606651982254,1.94773546462165,5.94403280822593))!#16808=CARTESIAN_POINT('Ctrl Pts',(-20.2273500927921,1.954,5.94196171310452))!#16809=CARTESIAN_POINT('Ctrl Pts',(-20.196,1.954,5.94196171310452))!#16810=CARTESIAN_POINT('',(-16.696,1.954,5.94196171310452))!#16811=CARTESIAN_POINT('',(-18.446,1.954,5.94196171310452))!#16812=CARTESIAN_POINT('Ctrl Pts',(-16.696,1.954,5.94196171310452))!#16813=CARTESIAN_POINT('Ctrl Pts',(-16.6646499072079,1.954,5.94196171310452))!#16814=CARTESIAN_POINT('Ctrl Pts',(-16.6313348017746,1.94773546462165,5.94403280822593))!#16815=CARTESIAN_POINT('Ctrl Pts',(-16.5703167907166,1.92261449348244,5.95220572341942))!#16816=CARTESIAN_POINT('Ctrl Pts',(-16.5426068781283,1.90376872208391,5.95828799255884))!#16817=CARTESIAN_POINT('Ctrl Pts',(-16.4978976993386,1.85983373461989,5.97215197013735))!#16818=CARTESIAN_POINT('Ctrl Pts',(-16.4782934078391,1.83142311504269,5.98096697756384))!#16819=CARTESIAN_POINT('Ctrl Pts',(-16.4523458605214,1.7693105750612,5.99963471404375))!#16820=CARTESIAN_POINT('Ctrl Pts',(-16.446,1.73560568215534,6.00947392968366))!#16821=CARTESIAN_POINT('Ctrl Pts',(-16.446,1.704,6.01842246772358))!#16822=CARTESIAN_POINT('Origin',(-18.446,1.954,6.51333333333333))!#16823=CARTESIAN_POINT('',(-16.696,2.4425,6.51333333333333))!#16824=CARTESIAN_POINT('Origin',(-16.696,2.1925,6.51333333333333))!#16825=CARTESIAN_POINT('',(-16.696,1.954,6.51333333333333))!#16826=CARTESIAN_POINT('Origin',(-16.696,1.704,6.51333333333333))!#16827=CARTESIAN_POINT('',(-20.196,1.954,6.51333333333333))!#16828=CARTESIAN_POINT('',(-18.446,1.954,6.51333333333333))!#16829=CARTESIAN_POINT('',(-20.446,1.704,6.51333333333333))!#16830=CARTESIAN_POINT('Origin',(-20.196,1.704,6.51333333333333))!#16831=CARTESIAN_POINT('',(-20.446,2.1925,6.51333333333333))!#16832=CARTESIAN_POINT('',(-20.446,2.4425,6.51333333333333))!#16833=CARTESIAN_POINT('',(-20.196,2.4425,6.51333333333333))!#16834=CARTESIAN_POINT('Origin',(-20.196,2.1925,6.51333333333333))!#16835=CARTESIAN_POINT('',(-18.446,2.4425,6.51333333333333))!#16836=CARTESIAN_POINT('Origin',(-18.446,2.4425,6.51333333333333))!#16837=CARTESIAN_POINT('',(-16.696,2.4425,9.645))!#16838=CARTESIAN_POINT('',(-16.696,2.4425,7.1232957344073))!#16839=CARTESIAN_POINT('',(-20.196,2.4425,9.645))!#16840=CARTESIAN_POINT('',(-20.196,2.4425,7.1232957344073))!#16841=CARTESIAN_POINT('',(-18.446,2.4425,9.645))!#16842=CARTESIAN_POINT('Origin',(-18.446,6.2327387392328E-62,-4.09583478244713E-15))!#16843=CARTESIAN_POINT('',(-20.446,-2.64347722718807,5.66895520791424))!#16844=CARTESIAN_POINT('',(-18.446,-2.64347722718807,5.66895520791424))!#16845=CARTESIAN_POINT('',(-16.696,-1.954,5.94196171310452))!#16846=CARTESIAN_POINT('Ctrl Pts',(-16.446,-1.704,6.01842246772358))!#16847=CARTESIAN_POINT('Ctrl Pts',(-16.446,-1.73560568215534,6.00947392968366))!#16848=CARTESIAN_POINT('Ctrl Pts',(-16.4523458605214,-1.7693105750612,5.99963471404375))!#16849=CARTESIAN_POINT('Ctrl Pts',(-16.4782934078391,-1.83142311504269, 5.98096697756384))!#16850=CARTESIAN_POINT('Ctrl Pts',(-16.4978976993386,-1.85983373461989, 5.97215197013735))!#16851=CARTESIAN_POINT('Ctrl Pts',(-16.5426068781283,-1.90376872208391, 5.95828799255884))!#16852=CARTESIAN_POINT('Ctrl Pts',(-16.5703167907166,-1.92261449348244, 5.95220572341942))!#16853=CARTESIAN_POINT('Ctrl Pts',(-16.6313348017746,-1.94773546462165, 5.94403280822593))!#16854=CARTESIAN_POINT('Ctrl Pts',(-16.6646499072079,-1.954,5.94196171310452))!#16855=CARTESIAN_POINT('Ctrl Pts',(-16.696,-1.954,5.94196171310452))!#16856=CARTESIAN_POINT('',(-20.196,-1.954,5.94196171310452))!#16857=CARTESIAN_POINT('',(-18.446,-1.954,5.94196171310452))!#16858=CARTESIAN_POINT('',(-20.446,-1.704,6.01842246772358))!#16859=CARTESIAN_POINT('Ctrl Pts',(-20.196,-1.954,5.94196171310452))!#16860=CARTESIAN_POINT('Ctrl Pts',(-20.2273500927921,-1.954,5.94196171310452))!#16861=CARTESIAN_POINT('Ctrl Pts',(-20.2606651982254,-1.94773546462165, 5.94403280822593))!#16862=CARTESIAN_POINT('Ctrl Pts',(-20.3216832092834,-1.92261449348244, 5.95220572341942))!#16863=CARTESIAN_POINT('Ctrl Pts',(-20.3493931218717,-1.90376872208391, 5.95828799255884))!#16864=CARTESIAN_POINT('Ctrl Pts',(-20.3941023006614,-1.85983373461989, 5.97215197013735))!#16865=CARTESIAN_POINT('Ctrl Pts',(-20.4137065921609,-1.83142311504269, 5.98096697756384))!#16866=CARTESIAN_POINT('Ctrl Pts',(-20.4396541394786,-1.7693105750612,5.99963471404375))!#16867=CARTESIAN_POINT('Ctrl Pts',(-20.446,-1.73560568215534,6.00947392968366))!#16868=CARTESIAN_POINT('Ctrl Pts',(-20.446,-1.704,6.01842246772358))!#16869=CARTESIAN_POINT('Origin',(-20.446,4.28804646490119E-62,-4.53992399229719E-15))!#16870=CARTESIAN_POINT('Origin',(-18.446,-2.64347722718807,5.66895520791424))!#16871=CARTESIAN_POINT('',(-20.446,-2.601215401014,5.57832442921058))!#16872=CARTESIAN_POINT('Origin',(-14.7485,6.5182,-3.27482485573682E-15))!#16873=CARTESIAN_POINT('',(-14.7485,6.5182,-3.27482485573682E-15))!#16874=CARTESIAN_POINT('',(-13.6135,6.5182,-2.9533055232953E-15))!#16875=CARTESIAN_POINT('Origin',(-14.181,6.5182,-3.14881454244187E-15))!#16876=CARTESIAN_POINT('Origin',(-14.181,6.5182,-3.14881454244187E-15))!#16877=CARTESIAN_POINT('',(-14.4836666666667,6.5182,-3.21602004286584E-15))!#16878=CARTESIAN_POINT('',(-13.8783333333333,6.5182,-3.0445430655637E-15))!#16879=CARTESIAN_POINT('Origin',(-14.181,6.5182,-3.14881454244187E-15))!#16880=CARTESIAN_POINT('Origin',(-14.181,6.5182,-3.14881454244187E-15))!#16881=CARTESIAN_POINT('Origin',(-14.181,6.7906,-3.14881454244187E-15))!#16882=CARTESIAN_POINT('',(-13.8783333333333,7.063,-3.0445430655637E-15))!#16883=CARTESIAN_POINT('',(-13.8783333333333,6.7906,-3.0445430655637E-15))!#16884=CARTESIAN_POINT('',(-14.4836666666667,7.063,-3.21602004286584E-15))!#16885=CARTESIAN_POINT('Origin',(-14.181,7.063,-3.14881454244187E-15))!#16886=CARTESIAN_POINT('Origin',(-14.181,7.063,-3.14881454244187E-15))!#16887=CARTESIAN_POINT('Origin',(-14.4836666666667,7.063,-3.21602004286584E-15))!#16888=CARTESIAN_POINT('',(-14.4332222222222,7.063,-3.20481912612851E-15))!#16889=CARTESIAN_POINT('',(-13.9287777777778,7.063,-3.06192164504339E-15))!#16890=CARTESIAN_POINT('Origin',(-14.181,7.063,-3.14881454244187E-15))!#16891=CARTESIAN_POINT('Origin',(-14.181,7.063,-3.14881454244187E-15))!#16892=CARTESIAN_POINT('Origin',(-14.181,7.30746153846154,-3.14881454244187E-15))!#16893=CARTESIAN_POINT('',(-13.9287777777778,7.50027020934792,-3.0614786609721E-15))!#16894=CARTESIAN_POINT('',(-13.9287777777778,7.30746153846154,-3.06192164504339E-15))!#16895=CARTESIAN_POINT('',(-13.9599304933696,7.55192307692308,0.121426202365493))!#16896=CARTESIAN_POINT('Ctrl Pts',(-13.9287777777778,7.50027020934792,-3.05693790491031E-15))!#16897=CARTESIAN_POINT('Ctrl Pts',(-13.9287777777778,7.50027020934792,0.0123910683610267))!#16898=CARTESIAN_POINT('Ctrl Pts',(-13.9296980548825,7.50152986673404,0.0247494775366283))!#16899=CARTESIAN_POINT('Ctrl Pts',(-13.9339471054111,7.50755170227874,0.0535351090327277))!#16900=CARTESIAN_POINT('Ctrl Pts',(-13.9380247062633,7.51339221103051,0.0693588554002304))!#16901=CARTESIAN_POINT('Ctrl Pts',(-13.9481316433145,7.52952244073404,0.0980837260927535))!#16902=CARTESIAN_POINT('Ctrl Pts',(-13.9541023643362,7.53972367632899,0.110815464444865))!#16903=CARTESIAN_POINT('Ctrl Pts',(-13.9599304933696,7.55192307692308,0.121426202365493))!#16904=CARTESIAN_POINT('',(-14.4020695066304,7.55192307692308,0.121426202365493))!#16905=CARTESIAN_POINT('Origin',(-14.181,7.55192307692308,-3.14881454244187E-15))!#16906=CARTESIAN_POINT('',(-14.4020695066304,7.55192307692308,-0.1214262023655))!#16907=CARTESIAN_POINT('Ctrl Pts',(-14.4020695066304,7.55192307692308,0.121426202365494))!#16908=CARTESIAN_POINT('Ctrl Pts',(-14.4087315148608,7.53797820598801,0.109297297368252))!#16909=CARTESIAN_POINT('Ctrl Pts',(-14.415563682064,7.52664790709685,0.0944071083452783))!#16910=CARTESIAN_POINT('Ctrl Pts',(-14.4266639957001,7.50935841971314,0.060013974265672))!#16911=CARTESIAN_POINT('Ctrl Pts',(-14.4307368743734,7.50373187429466,0.0407047667013305))!#16912=CARTESIAN_POINT('Ctrl Pts',(-14.4339517818356,7.49924873092236,0.0013581052171166))!#16913=CARTESIAN_POINT('Ctrl Pts',(-14.4332879092584,7.50013253952649,-0.0180699616685642))!#16914=CARTESIAN_POINT('Ctrl Pts',(-14.4280528945889,7.50755170227874,-0.0535351090327321))!#16915=CARTESIAN_POINT('Ctrl Pts',(-14.4239752937367,7.51339221103051,-0.069358855400234))!#16916=CARTESIAN_POINT('Ctrl Pts',(-14.4138683566855,7.52952244073404,-0.0980837260927597))!#16917=CARTESIAN_POINT('Ctrl Pts',(-14.4078976356638,7.53972367632899,-0.110815464444872))!#16918=CARTESIAN_POINT('Ctrl Pts',(-14.4020695066304,7.55192307692308,-0.1214262023655))!#16919=CARTESIAN_POINT('',(-13.9599304933696,7.55192307692308,-0.1214262023655))!#16920=CARTESIAN_POINT('Origin',(-14.181,7.55192307692308,-3.14881454244187E-15))!#16921=CARTESIAN_POINT('Ctrl Pts',(-13.9599304933696,7.55192307692308,-0.1214262023655))!#16922=CARTESIAN_POINT('Ctrl Pts',(-13.9532684851392,7.53797820598801,-0.109297297368258))!#16923=CARTESIAN_POINT('Ctrl Pts',(-13.946436317936,7.52664790709686,-0.0944071083452865))!#16924=CARTESIAN_POINT('Ctrl Pts',(-13.9353360042999,7.50935841971314,-0.060013974265673))!#16925=CARTESIAN_POINT('Ctrl Pts',(-13.9312631256266,7.50373187429466,-0.0407047667013331))!#16926=CARTESIAN_POINT('Ctrl Pts',(-13.929057520523,7.50065618890765,-0.0137107712599893))!#16927=CARTESIAN_POINT('Ctrl Pts',(-13.9287777777778,7.50027020934792,-0.00685039430448234))!#16928=CARTESIAN_POINT('Ctrl Pts',(-13.9287777777778,7.50027020934792,-3.15528443268222E-15))!#16929=CARTESIAN_POINT('Origin',(-14.181,6.3366,-3.14881454244187E-15))!#16930=CARTESIAN_POINT('',(-13.4319,6.3366,-2.8907426371684E-15))!#16931=CARTESIAN_POINT('Origin',(-14.4332222222222,7.55192307692308,-3.20481912612851E-15))!#16932=CARTESIAN_POINT('',(-14.181,7.55192307692308,-0.141584963096895))!#16933=CARTESIAN_POINT('Ctrl Pts',(-14.4020695066304,7.55192307692308,-0.1214262023655))!#16934=CARTESIAN_POINT('Ctrl Pts',(-14.376621888079,7.55192307692308,-0.127366648438135))!#16935=CARTESIAN_POINT('Ctrl Pts',(-14.3500303820546,7.55192307692308,-0.131524896429218))!#16936=CARTESIAN_POINT('Ctrl Pts',(-14.2748417029962,7.55192307692308,-0.139887828279932))!#16937=CARTESIAN_POINT('Ctrl Pts',(-14.2257266879902,7.55192307692308,-0.141584963096895))!#16938=CARTESIAN_POINT('Ctrl Pts',(-14.181,7.55192307692308,-0.141584963096895))!#16939=CARTESIAN_POINT('Ctrl Pts',(-14.181,7.55192307692308,-0.141584963096895))!#16940=CARTESIAN_POINT('Ctrl Pts',(-14.1362733120098,7.55192307692308,-0.141584963096895))!#16941=CARTESIAN_POINT('Ctrl Pts',(-14.0871582970038,7.55192307692308,-0.139887828279932))!#16942=CARTESIAN_POINT('Ctrl Pts',(-14.0119696179453,7.55192307692308,-0.131524896429218))!#16943=CARTESIAN_POINT('Ctrl Pts',(-13.985378111921,7.55192307692308,-0.127366648438133))!#16944=CARTESIAN_POINT('Ctrl Pts',(-13.9599304933696,7.55192307692308,-0.121426202365498))!#16945=CARTESIAN_POINT('Origin',(-14.4332222222222,7.55192307692308,-3.20481912612851E-15))!#16946=CARTESIAN_POINT('',(-14.181,7.55192307692308,0.141584963096889))!#16947=CARTESIAN_POINT('Ctrl Pts',(-13.9599304933696,7.55192307692308,0.121426202365493))!#16948=CARTESIAN_POINT('Ctrl Pts',(-13.985378111921,7.55192307692308,0.127366648438128))!#16949=CARTESIAN_POINT('Ctrl Pts',(-14.0119696179453,7.55192307692308,0.131524896429212))!#16950=CARTESIAN_POINT('Ctrl Pts',(-14.0871582970038,7.55192307692308,0.139887828279926))!#16951=CARTESIAN_POINT('Ctrl Pts',(-14.1362733120098,7.55192307692308,0.141584963096889))!#16952=CARTESIAN_POINT('Ctrl Pts',(-14.181,7.55192307692308,0.141584963096889))!#16953=CARTESIAN_POINT('Ctrl Pts',(-14.181,7.55192307692308,0.141584963096889))!#16954=CARTESIAN_POINT('Ctrl Pts',(-14.2257266879902,7.55192307692308,0.141584963096889))!#16955=CARTESIAN_POINT('Ctrl Pts',(-14.2748417029962,7.55192307692308,0.139887828279925))!#16956=CARTESIAN_POINT('Ctrl Pts',(-14.3500303820546,7.55192307692308,0.131524896429212))!#16957=CARTESIAN_POINT('Ctrl Pts',(-14.376621888079,7.55192307692308,0.127366648438128))!#16958=CARTESIAN_POINT('Ctrl Pts',(-14.4020695066304,7.55192307692308,0.121426202365494))!#16959=CARTESIAN_POINT('Origin',(-14.181,8.27,-3.14881454244187E-15))!#16960=CARTESIAN_POINT('',(-14.181,7.77,-3.12983251705508E-15))!#16961=CARTESIAN_POINT('Origin',(-14.181,7.615,-3.14881454244187E-15))!#16962=CARTESIAN_POINT('Origin',(-14.181,7.615,-3.14881454244187E-15))!#16963=CARTESIAN_POINT('Origin',(-14.181,8.27,-3.12983251705508E-15))!#16964=CARTESIAN_POINT('Origin',(-22.831,1.96900092776075E-62,-5.06950037504339E-15))!#16965=CARTESIAN_POINT('',(-24.13,-7.65404249467096E-16,6.25))!#16966=CARTESIAN_POINT('',(-22.831,-7.65404249467096E-16,6.25))!#16967=CARTESIAN_POINT('',(-24.13,-1.91351062366774E-15,-6.25000000000001))!#16968=CARTESIAN_POINT('Origin',(-24.13,7.05923295582373E-63,-5.35793631684101E-15))!#16969=CARTESIAN_POINT('',(-24.13,6.25,-4.59253206737391E-15))!#16970=CARTESIAN_POINT('Origin',(-24.13,7.05923295582373E-63,-5.35793631684101E-15))!#16971=CARTESIAN_POINT('Origin',(-24.13,7.05923295582373E-63,-5.35793631684101E-15))!#16972=CARTESIAN_POINT('Origin',(-24.856,0.,-6.25000000000001))!#16973=CARTESIAN_POINT('',(-24.856,-3.38247445924499E-16,-5.52400000000001))!#16974=CARTESIAN_POINT('',(-24.856,5.524,-4.84264580816758E-15))!#16975=CARTESIAN_POINT('Origin',(-24.856,0.,-5.51914070001658E-15))!#16976=CARTESIAN_POINT('Origin',(-24.856,0.,-5.51914070001658E-15))!#16977=CARTESIAN_POINT('Origin',(-24.13,7.05923295582373E-63,-5.35793631684101E-15))!#16978=CARTESIAN_POINT('Origin',(-24.13,5.524,-4.68144142499201E-15))!#16979=CARTESIAN_POINT('Origin',(-9.66600000000001,-5.57201259142517,5.075))!#16980=CARTESIAN_POINT('',(-9.166,-6.02,5.075))!#16981=CARTESIAN_POINT('',(-9.166,-5.57201259142517,5.075))!#16982=CARTESIAN_POINT('Origin',(-9.66600000000001,-6.02,5.075))!#16983=CARTESIAN_POINT('Origin',(-9.166,-6.02,5.575))!#16984=CARTESIAN_POINT('',(-20.416,-6.02,5.075))!#16985=CARTESIAN_POINT('Origin',(-19.916,-6.02,5.075))!#16986=CARTESIAN_POINT('',(-9.166,-6.02,3.59687174594941))!#16987=CARTESIAN_POINT('',(-20.416,-6.02,3.59687174594941))!#16988=CARTESIAN_POINT('',(-17.671,-6.02,4.78349364905389))!#16989=CARTESIAN_POINT('',(-17.671,-6.02,5.2165063509461))!#16990=CARTESIAN_POINT('',(-17.671,-6.02,5.17924682452694))!#16991=CARTESIAN_POINT('',(-18.046,-6.02,5.43301270189221))!#16992=CARTESIAN_POINT('',(-14.559241151794,-6.02,3.41993154228118))!#16993=CARTESIAN_POINT('',(-18.421,-6.02,5.2165063509461))!#16994=CARTESIAN_POINT('',(-14.685258848206,-6.02,7.37333751055714))!#16995=CARTESIAN_POINT('',(-18.421,-6.02,4.78349364905389))!#16996=CARTESIAN_POINT('',(-18.421,-6.02,5.39575317547305))!#16997=CARTESIAN_POINT('',(-18.046,-6.02,4.56698729810778))!#16998=CARTESIAN_POINT('',(-15.121741151794,-6.02,2.87866566491591))!#16999=CARTESIAN_POINT('',(-14.497758848206,-6.02,6.61556528224576))!#17000=CARTESIAN_POINT('Origin',(-19.916,-5.57201259142517,5.075))!#17001=CARTESIAN_POINT('',(-20.416,-5.57201259142517,5.075))!#17002=CARTESIAN_POINT('Origin',(-9.666,-5.44701259142517,-5.075))!#17003=CARTESIAN_POINT('',(-9.166,-5.44701259142517,-5.075))!#17004=CARTESIAN_POINT('Origin',(-9.166,-6.27,-5.575))!#17005=CARTESIAN_POINT('Origin',(-19.916,-5.44701259142517,-5.075))!#17006=CARTESIAN_POINT('',(-20.416,-5.44701259142517,-5.075))!#17007=CARTESIAN_POINT('Origin',(-9.166,-4.87402518285034,-1.97414173198799E-15))!#17008=CARTESIAN_POINT('',(-9.166,-6.22175409662873,-1.29922119676043))!#17009=CARTESIAN_POINT('Origin',(-9.166,-5.47,-1.0256050820999))!#17010=CARTESIAN_POINT('',(-9.166,-6.27,-1.16666666666667))!#17011=CARTESIAN_POINT('',(-9.166,-6.22175409662873,1.29922119676043))!#17012=CARTESIAN_POINT('',(-9.166,-5.70663657195437,2.71449496416858))!#17013=CARTESIAN_POINT('Origin',(-9.166,-5.47,1.02560508209989))!#17014=CARTESIAN_POINT('Origin',(-9.166,-6.27,1.16666666666666))!#17015=CARTESIAN_POINT('',(-20.416,-6.22175409662873,1.29922119676042))!#17016=CARTESIAN_POINT('',(-9.166,-6.22175409662873,1.29922119676043))!#17017=CARTESIAN_POINT('',(-20.416,-5.70663657195437,2.71449496416858))!#17018=CARTESIAN_POINT('Origin',(-9.166,-5.47,1.02560508209989))!#17019=CARTESIAN_POINT('Origin',(-20.416,-5.47,1.02560508209989))!#17020=CARTESIAN_POINT('Origin',(-20.416,-4.87402518285034,-4.47214353739459E-15))!#17021=CARTESIAN_POINT('',(-20.416,-6.22175409662873,-1.29922119676043))!#17022=CARTESIAN_POINT('Origin',(-20.416,-5.47,-1.0256050820999))!#17023=CARTESIAN_POINT('',(-20.416,-6.27,-1.16666666666667))!#17024=CARTESIAN_POINT('Origin',(-9.166,-5.70663657195437,-2.71449496416859))!#17025=CARTESIAN_POINT('',(-9.166,-6.22175409662873,-1.29922119676043))!#17026=CARTESIAN_POINT('Origin',(-9.166,-5.47,-1.0256050820999))!#17027=CARTESIAN_POINT('Origin',(-18.446,2.4425,9.76999999999999))!#17028=CARTESIAN_POINT('',(-16.696,2.3175,9.76999999999999))!#17029=CARTESIAN_POINT('',(-16.571,2.1925,9.76999999999999))!#17030=CARTESIAN_POINT('Origin',(-16.696,2.1925,9.76999999999999))!#17031=CARTESIAN_POINT('',(-20.196,2.3175,9.76999999999999))!#17032=CARTESIAN_POINT('',(-18.446,2.3175,9.76999999999999))!#17033=CARTESIAN_POINT('',(-20.321,2.1925,9.76999999999999))!#17034=CARTESIAN_POINT('Origin',(-20.196,2.1925,9.76999999999999))!#17035=CARTESIAN_POINT('',(-20.321,-2.1925,9.76999999999999))!#17036=CARTESIAN_POINT('',(-20.321,1.22125,9.76999999999999))!#17037=CARTESIAN_POINT('',(-20.196,-2.3175,9.76999999999999))!#17038=CARTESIAN_POINT('Origin',(-20.196,-2.1925,9.76999999999999))!#17039=CARTESIAN_POINT('',(-16.696,-2.3175,9.76999999999999))!#17040=CARTESIAN_POINT('',(-18.446,-2.3175,9.76999999999999))!#17041=CARTESIAN_POINT('',(-16.571,-2.1925,9.76999999999999))!#17042=CARTESIAN_POINT('Origin',(-16.696,-2.1925,9.76999999999999))!#17043=CARTESIAN_POINT('',(-16.571,1.22125,9.76999999999999))!#17044=CARTESIAN_POINT('Origin',(-20.446,8.75958815009819E-17,7.73325813548127))!#17045=CARTESIAN_POINT('',(-20.446,-1.704,6.51333333333333))!#17046=CARTESIAN_POINT('',(-20.446,-2.1925,6.51333333333333))!#17047=CARTESIAN_POINT('',(-20.446,-1.954,6.51333333333333))!#17048=CARTESIAN_POINT('',(-20.446,-2.1925,9.645))!#17049=CARTESIAN_POINT('',(-20.446,-2.1925,8.75162906774063))!#17050=CARTESIAN_POINT('',(-20.446,2.1925,9.645))!#17051=CARTESIAN_POINT('',(-20.446,1.22125,9.645))!#17052=CARTESIAN_POINT('',(-20.446,2.1925,7.1232957344073))!#17053=CARTESIAN_POINT('',(-20.446,1.704,6.83760992429289))!#17054=CARTESIAN_POINT('',(-20.446,-1.704,7.1232957344073))!#17055=CARTESIAN_POINT('Origin',(-18.446,-2.4425,6.51333333333333))!#17056=CARTESIAN_POINT('',(-16.696,-2.4425,6.51333333333333))!#17057=CARTESIAN_POINT('Origin',(-16.696,-2.1925,6.51333333333333))!#17058=CARTESIAN_POINT('',(-20.196,-2.4425,6.51333333333333))!#17059=CARTESIAN_POINT('',(-18.446,-2.4425,6.51333333333333))!#17060=CARTESIAN_POINT('Origin',(-20.196,-2.1925,6.51333333333333))!#17061=CARTESIAN_POINT('',(-20.196,-1.954,6.51333333333333))!#17062=CARTESIAN_POINT('Origin',(-20.196,-1.704,6.51333333333333))!#17063=CARTESIAN_POINT('',(-16.696,-1.954,6.51333333333333))!#17064=CARTESIAN_POINT('',(-18.446,-1.954,6.51333333333333))!#17065=CARTESIAN_POINT('Origin',(-16.696,-1.704,6.51333333333333))!#17066=CARTESIAN_POINT('Origin',(-18.446,-2.4425,9.76999999999999))!#17067=CARTESIAN_POINT('',(-16.696,-2.4425,9.645))!#17068=CARTESIAN_POINT('',(-16.696,-2.4425,8.75162906774063))!#17069=CARTESIAN_POINT('',(-20.196,-2.4425,9.645))!#17070=CARTESIAN_POINT('',(-18.446,-2.4425,9.645))!#17071=CARTESIAN_POINT('',(-20.196,-2.4425,8.75162906774063))!#17072=CARTESIAN_POINT('Origin',(-20.196,-2.1925,8.75162906774063))!#17073=CARTESIAN_POINT('Origin',(-20.196,-2.1925,9.645))!#17074=CARTESIAN_POINT('Origin',(-20.321,1.22125,9.645))!#17075=CARTESIAN_POINT('Origin',(-20.321,2.1925,9.645))!#17076=CARTESIAN_POINT('Origin',(-20.321,-2.1925,9.645))!#17077=CARTESIAN_POINT('Origin',(-20.196,2.1925,7.1232957344073))!#17078=CARTESIAN_POINT('Origin',(-20.196,2.1925,9.645))!#17079=CARTESIAN_POINT('Origin',(-16.696,2.1925,7.1232957344073))!#17080=CARTESIAN_POINT('Origin',(-16.696,2.1925,9.645))!#17081=CARTESIAN_POINT('Origin',(-16.571,1.22125,9.645))!#17082=CARTESIAN_POINT('Origin',(-16.571,-2.1925,9.645))!#17083=CARTESIAN_POINT('Origin',(-16.571,2.1925,9.645))!#17084=CARTESIAN_POINT('Origin',(-18.446,2.3175,9.645))!#17085=CARTESIAN_POINT('Origin',(-20.196,2.3175,9.645))!#17086=CARTESIAN_POINT('Origin',(-16.696,2.3175,9.645))!#17087=CARTESIAN_POINT('Ctrl Pts',(-16.446,2.1925,9.645))!#17088=CARTESIAN_POINT('Ctrl Pts',(-16.446,2.4425,9.645))!#17089=CARTESIAN_POINT('Ctrl Pts',(-16.696,2.4425,9.645))!#17090=CARTESIAN_POINT('Ctrl Pts',(-16.446,2.1925,9.77))!#17091=CARTESIAN_POINT('Ctrl Pts',(-16.446,2.4425,9.77))!#17092=CARTESIAN_POINT('Ctrl Pts',(-16.696,2.4425,9.77))!#17093=CARTESIAN_POINT('Ctrl Pts',(-16.571,2.1925,9.76999999999999))!#17094=CARTESIAN_POINT('Ctrl Pts',(-16.571,2.3175,9.76999999999999))!#17095=CARTESIAN_POINT('Ctrl Pts',(-16.696,2.3175,9.76999999999999))!#17096=CARTESIAN_POINT('Ctrl Pts',(-20.321,2.1925,9.76999999999999))!#17097=CARTESIAN_POINT('Ctrl Pts',(-20.321,2.3175,9.76999999999999))!#17098=CARTESIAN_POINT('Ctrl Pts',(-20.196,2.3175,9.76999999999999))!#17099=CARTESIAN_POINT('Ctrl Pts',(-20.446,2.1925,9.76999999999999))!#17100=CARTESIAN_POINT('Ctrl Pts',(-20.446,2.4425,9.76999999999999))!#17101=CARTESIAN_POINT('Ctrl Pts',(-20.196,2.4425,9.76999999999999))!#17102=CARTESIAN_POINT('Ctrl Pts',(-20.446,2.1925,9.645))!#17103=CARTESIAN_POINT('Ctrl Pts',(-20.446,2.4425,9.645))!#17104=CARTESIAN_POINT('Ctrl Pts',(-20.196,2.4425,9.645))!#17105=CARTESIAN_POINT('Origin',(-16.696,-2.1925,8.75162906774063))!#17106=CARTESIAN_POINT('Origin',(-16.696,-2.1925,9.645))!#17107=CARTESIAN_POINT('Origin',(-18.446,-2.3175,9.645))!#17108=CARTESIAN_POINT('Origin',(-20.196,-2.3175,9.645))!#17109=CARTESIAN_POINT('Origin',(-16.696,-2.3175,9.645))!#17110=CARTESIAN_POINT('Ctrl Pts',(-16.571,-2.1925,9.76999999999999))!#17111=CARTESIAN_POINT('Ctrl Pts',(-16.571,-2.3175,9.76999999999999))!#17112=CARTESIAN_POINT('Ctrl Pts',(-16.696,-2.3175,9.76999999999999))!#17113=CARTESIAN_POINT('Ctrl Pts',(-16.446,-2.1925,9.77))!#17114=CARTESIAN_POINT('Ctrl Pts',(-16.446,-2.4425,9.77))!#17115=CARTESIAN_POINT('Ctrl Pts',(-16.696,-2.4425,9.77))!#17116=CARTESIAN_POINT('Ctrl Pts',(-16.446,-2.1925,9.645))!#17117=CARTESIAN_POINT('Ctrl Pts',(-16.446,-2.4425,9.645))!#17118=CARTESIAN_POINT('Ctrl Pts',(-16.696,-2.4425,9.645))!#17119=CARTESIAN_POINT('Ctrl Pts',(-20.446,-2.1925,9.645))!#17120=CARTESIAN_POINT('Ctrl Pts',(-20.446,-2.4425,9.645))!#17121=CARTESIAN_POINT('Ctrl Pts',(-20.196,-2.4425,9.645))!#17122=CARTESIAN_POINT('Ctrl Pts',(-20.446,-2.1925,9.76999999999999))!#17123=CARTESIAN_POINT('Ctrl Pts',(-20.446,-2.4425,9.76999999999999))!#17124=CARTESIAN_POINT('Ctrl Pts',(-20.196,-2.4425,9.76999999999999))!#17125=CARTESIAN_POINT('Ctrl Pts',(-20.321,-2.1925,9.76999999999999))!#17126=CARTESIAN_POINT('Ctrl Pts',(-20.321,-2.3175,9.76999999999999))!#17127=CARTESIAN_POINT('Ctrl Pts',(-20.196,-2.3175,9.76999999999999))!#17128=CARTESIAN_POINT('Origin',(-16.696,1.704,6.83760992429289))!#17129=CARTESIAN_POINT('',(-16.696,1.954,6.83760992429289))!#17130=CARTESIAN_POINT('Origin',(-18.446,1.954,5.94196171310452))!#17131=CARTESIAN_POINT('',(-20.196,1.954,6.83760992429289))!#17132=CARTESIAN_POINT('Origin',(-20.196,1.704,6.83760992429289))!#17133=CARTESIAN_POINT('Origin',(-20.196,-1.704,7.1232957344073))!#17134=CARTESIAN_POINT('',(-20.196,-1.954,7.1232957344073))!#17135=CARTESIAN_POINT('Origin',(-18.446,-1.954,6.51333333333333))!#17136=CARTESIAN_POINT('',(-16.696,-1.954,7.1232957344073))!#17137=CARTESIAN_POINT('Origin',(-16.696,-1.704,7.1232957344073))!#17138=CARTESIAN_POINT('Origin',(-4.646,4.52755905511811,-1.0316192344817E-15))!#17139=CARTESIAN_POINT('',(-4.646,4.52755905511811,-1.0316192344817E-15))!#17140=CARTESIAN_POINT('',(-4.646,-4.52755905511811,-1.5860853049618E-15))!#17141=CARTESIAN_POINT('Origin',(-4.646,0.,-1.0316192344817E-15))!#17142=CARTESIAN_POINT('Origin',(-4.646,0.,-1.0316192344817E-15))!#17143=CARTESIAN_POINT('',(-4.646,4.25,-1.0316192344817E-15))!#17144=CARTESIAN_POINT('Origin',(-4.646,0.,-1.0316192344817E-15))!#17145=CARTESIAN_POINT('Origin',(-0.5842046996703,0.,-1.29719501733638E-16))!#17146=CARTESIAN_POINT('',(-0.5842046996703,4.42913385826772,-1.29719501733638E-16))!#17147=CARTESIAN_POINT('',(-0.584204699670301,-5.42412460252273E-16,4.42913385826772))!#17148=CARTESIAN_POINT('Origin',(-0.5842046996703,0.,-1.29719501733638E-16))!#17149=CARTESIAN_POINT('Origin',(-0.584204699670301,-5.56876792525666E-16, 4.54724409448819))!#17150=CARTESIAN_POINT('',(-0.5842046996703,-4.42913385826772,-6.72131961985911E-16))!#17151=CARTESIAN_POINT('Origin',(-0.5842046996703,0.,-1.29719501733638E-16))!#17152=CARTESIAN_POINT('Origin',(-0.5842046996703,0.,-1.29719501733638E-16))!#17153=CARTESIAN_POINT('Origin',(-0.607192186676408,0.,-1.34823749204129E-16))!#17154=CARTESIAN_POINT('',(-0.630179673682517,-4.42913385826772,-6.82340456926892E-16))!#17155=CARTESIAN_POINT('',(-0.607192186676408,-4.42913385826772,-6.77236209456401E-16))!#17156=CARTESIAN_POINT('',(-0.630179673682517,4.42913385826772,-1.3992799667462E-16))!#17157=CARTESIAN_POINT('Origin',(-0.630179673682517,0.,-1.3992799667462E-16))!#17158=CARTESIAN_POINT('Origin',(-0.630179673682517,0.,-1.3992799667462E-16))!#17159=CARTESIAN_POINT('Origin',(-0.655443079996207,0.,-1.45537599748604E-16))!#17160=CARTESIAN_POINT('',(-0.680706486309898,-4.29031258149697,-6.76558959849776E-16))!#17161=CARTESIAN_POINT('',(-0.655443079996207,-4.35972321988234,-6.79449708388334E-16))!#17162=CARTESIAN_POINT('',(-0.680706486309898,4.29031258149697,-1.51147202822588E-16))!#17163=CARTESIAN_POINT('Origin',(-0.680706486309898,0.,-1.51147202822588E-16))!#17164=CARTESIAN_POINT('',(-0.680706486309899,-5.25411757027188E-16,4.29031258149697))!#17165=CARTESIAN_POINT('Origin',(-0.680706486309898,0.,-1.51147202822588E-16))!#17166=CARTESIAN_POINT('Origin',(-0.680706486309898,0.,-1.51147202822588E-16))!#17167=CARTESIAN_POINT('Origin',(-0.791693803725558,0.,-1.75791337869837E-16))!#17168=CARTESIAN_POINT('',(-0.791693803725556,4.21259842519685,-1.75791337869836E-16))!#17169=CARTESIAN_POINT('',(-0.791693803725557,-5.1589451775105E-16,4.21259842519685))!#17170=CARTESIAN_POINT('Origin',(-0.791693803725556,0.,-1.75791337869836E-16))!#17171=CARTESIAN_POINT('Origin',(-0.791693803725559,-5.30358850024444E-16, 4.33070866141732))!#17172=CARTESIAN_POINT('',(-0.791693803725556,-4.21259842519685,-6.91685855620887E-16))!#17173=CARTESIAN_POINT('Origin',(-0.791693803725556,0.,-1.75791337869836E-16))!#17174=CARTESIAN_POINT('Origin',(-0.791693803725556,0.,-1.75791337869836E-16))!#17175=CARTESIAN_POINT('Origin',(-2.38947582297363,0.,-5.30570215090093E-16))!#17176=CARTESIAN_POINT('',(-3.9872578422217,-4.21259842519685,-1.4012436100614E-15))!#17177=CARTESIAN_POINT('',(-2.38947582297363,-4.21259842519685,-1.04646473284114E-15))!#17178=CARTESIAN_POINT('',(-3.9872578422217,4.21259842519685,-8.8534909231035E-16))!#17179=CARTESIAN_POINT('Origin',(-3.9872578422217,0.,-8.8534909231035E-16))!#17180=CARTESIAN_POINT('',(-3.9872578422217,-5.1589451775105E-16,4.21259842519685))!#17181=CARTESIAN_POINT('Origin',(-3.9872578422217,0.,-8.8534909231035E-16))!#17182=CARTESIAN_POINT('Origin',(-3.9872578422217,0.,-8.8534909231035E-16))!#17183=CARTESIAN_POINT('Origin',(-3.9872578422217,0.,-8.8534909231035E-16))!#17184=CARTESIAN_POINT('',(-4.08954430723561,4.27165354330709,-9.08061250023542E-16))!#17185=CARTESIAN_POINT('',(-4.08954430723561,-5.23126683887747E-16,4.27165354330709))!#17186=CARTESIAN_POINT('Origin',(-4.08954430723561,0.,-9.08061250023542E-16))!#17187=CARTESIAN_POINT('Origin',(-3.9872578422217,-5.30358850024444E-16, 4.33070866141732))!#17188=CARTESIAN_POINT('',(-4.08954430723561,-4.27165354330709,-1.43118793391129E-15))!#17189=CARTESIAN_POINT('Origin',(-4.08954430723561,0.,-9.08061250023542E-16))!#17190=CARTESIAN_POINT('Origin',(-4.08954430723561,0.,-9.08061250023542E-16))!#17191=CARTESIAN_POINT('Origin',(-4.16341786530121,0.,-9.24464475038624E-16))!#17192=CARTESIAN_POINT('',(-4.23729142336681,-4.52755905511811,-1.49533377053381E-15))!#17193=CARTESIAN_POINT('',(-4.16341786530121,-4.3996062992126,-1.46326085222255E-15))!#17194=CARTESIAN_POINT('',(-4.23729142336681,4.52755905511811,-9.40867700053707E-16))!#17195=CARTESIAN_POINT('Origin',(-4.23729142336681,0.,-9.40867700053707E-16))!#17196=CARTESIAN_POINT('Origin',(-4.23729142336681,0.,-9.40867700053707E-16))!#17197=CARTESIAN_POINT('Origin',(-4.44164571168341,0.,-9.86243467267701E-16))!#17198=CARTESIAN_POINT('',(-4.44164571168341,-4.52755905511811,-1.5407095377478E-15))!#17199=CARTESIAN_POINT('Origin',(-4.9711968503937,-1.30817682938737E-17, -9.72192195431301E-16))!#17200=CARTESIAN_POINT('',(-4.6463937007874,-4.52755905511811,-1.01976617859675E-15))!#17201=CARTESIAN_POINT('',(-4.6463937007874,4.52755905511811,3.73599227175774E-17))!#17202=CARTESIAN_POINT('Origin',(-4.6463937007874,-2.61635365877474E-17, -7.68436163179636E-16))!#17203=CARTESIAN_POINT('Origin',(-4.6463937007874,-2.61635365877474E-17, -7.68436163179636E-16))!#17204=CARTESIAN_POINT('',(-4.9711968503937,4.52755905511811,-1.66396109534088E-16))!#17205=CARTESIAN_POINT('Origin',(-4.6463937007874,-4.25,-1.00435855591248E-15))!#17206=CARTESIAN_POINT('',(-4.6463937007874,-4.25,-1.00435855591248E-15))!#17207=CARTESIAN_POINT('',(-4.6463937007874,4.25,-1.20388808091651E-17))!#17208=CARTESIAN_POINT('Origin',(-4.6463937007874,-2.61635365877474E-17, -7.68436163179636E-16))!#17209=CARTESIAN_POINT('Origin',(-4.6463937007874,-2.61635365877474E-17, -7.68436163179636E-16))!#17210=CARTESIAN_POINT('Origin',(-4.52828346456693,-3.09205432400651E-17, -6.94343060542667E-16))!#17211=CARTESIAN_POINT('',(-4.52828346456693,4.25,6.20542218278043E-17))!#17212=CARTESIAN_POINT('Origin',(-1.54600000000001,-9.27000000000001,5.00000000000001))!#17213=CARTESIAN_POINT('',(-1.04600000000001,-9.27000000000001,5.00000000000001))!#17214=CARTESIAN_POINT('',(-2.04600000000001,-9.27000000000001,5.00000000000001))!#17215=CARTESIAN_POINT('Origin',(-1.54600000000001,-9.27000000000001,5.00000000000001))!#17216=CARTESIAN_POINT('',(-1.54600000000001,-9.77000000000001,5.00000000000001))!#17217=CARTESIAN_POINT('Origin',(-1.54600000000001,-9.27000000000001,5.00000000000001))!#17218=CARTESIAN_POINT('Origin',(-1.54600000000001,-9.27000000000001,5.00000000000001))!#17219=CARTESIAN_POINT('Origin',(-1.07600000000001,-6.27000000000001,5.00000000000001))!#17220=CARTESIAN_POINT('',(-1.07600000000001,-6.27000000000001,5.00000000000001))!#17221=CARTESIAN_POINT('',(-2.01600000000001,-6.27000000000001,5.00000000000001))!#17222=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.27000000000001,5.00000000000001))!#17223=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.27000000000001,5.00000000000001))!#17224=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.28500000000001,5.00000000000001))!#17225=CARTESIAN_POINT('',(-1.04600000000001,-6.30000000000001,5.00000000000001))!#17226=CARTESIAN_POINT('',(-2.04600000000001,-6.30000000000001,5.00000000000001))!#17227=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.30000000000001,5.00000000000001))!#17228=CARTESIAN_POINT('Origin',(-1.54600000000001,-6.30000000000001,5.00000000000001))!#17229=CARTESIAN_POINT('',(-2.03100000000001,-6.28500000000001,5.00000000000001))!#17230=CARTESIAN_POINT('Origin',(-1.54600000000001,-7.78500000000001,5.00000000000001))!#17231=CARTESIAN_POINT('',(-2.04600000000001,-7.78500000000001,5.00000000000001))!#17232=CARTESIAN_POINT('Origin',(-1.546,-9.27000000000001,-5.00000000000001))!#17233=CARTESIAN_POINT('',(-1.046,-9.27000000000001,-5.00000000000001))!#17234=CARTESIAN_POINT('',(-2.046,-9.27000000000001,-5.00000000000001))!#17235=CARTESIAN_POINT('Origin',(-1.546,-9.27000000000001,-5.00000000000001))!#17236=CARTESIAN_POINT('',(-1.546,-9.77000000000001,-5.00000000000001))!#17237=CARTESIAN_POINT('Origin',(-1.546,-9.27000000000001,-5.00000000000001))!#17238=CARTESIAN_POINT('Origin',(-1.546,-9.27000000000001,-5.00000000000001))!#17239=CARTESIAN_POINT('Origin',(-1.076,-6.27000000000001,-5.00000000000001))!#17240=CARTESIAN_POINT('',(-1.076,-6.27000000000001,-5.00000000000001))!#17241=CARTESIAN_POINT('',(-2.016,-6.27000000000001,-5.00000000000001))!#17242=CARTESIAN_POINT('Origin',(-1.546,-6.27000000000001,-5.00000000000001))!#17243=CARTESIAN_POINT('Origin',(-1.546,-6.27000000000001,-5.00000000000001))!#17244=CARTESIAN_POINT('Origin',(-1.546,-6.28500000000001,-5.00000000000001))!#17245=CARTESIAN_POINT('',(-1.046,-6.30000000000001,-5.00000000000001))!#17246=CARTESIAN_POINT('',(-2.046,-6.30000000000001,-5.00000000000001))!#17247=CARTESIAN_POINT('Origin',(-1.546,-6.30000000000001,-5.00000000000001))!#17248=CARTESIAN_POINT('Origin',(-1.546,-6.30000000000001,-5.00000000000001))!#17249=CARTESIAN_POINT('',(-2.031,-6.28500000000001,-5.00000000000001))!#17250=CARTESIAN_POINT('Origin',(-1.546,-7.78500000000001,-5.00000000000001))!#17251=CARTESIAN_POINT('',(-2.046,-7.78500000000001,-5.00000000000001))!#17252=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17253=CARTESIAN_POINT('',(-18.421,-5.7325,4.99999999999999))!#17254=CARTESIAN_POINT('',(-18.2335,-5.7325,5.32475952641916))!#17255=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17256=CARTESIAN_POINT('',(-17.8585,-5.7325,5.32475952641916))!#17257=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17258=CARTESIAN_POINT('',(-17.671,-5.7325,4.99999999999999))!#17259=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17260=CARTESIAN_POINT('',(-17.8585,-5.7325,4.67524047358083))!#17261=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17262=CARTESIAN_POINT('',(-18.2335,-5.7325,4.67524047358083))!#17263=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17264=CARTESIAN_POINT('Origin',(-18.046,-5.7325,4.99999999999999))!#17265=CARTESIAN_POINT('Origin',(-18.046,-6.02,4.56698729810778))!#17266=CARTESIAN_POINT('',(-17.671,-5.76599364905389,4.78349364905389))!#17267=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,4.67524047358083))!#17268=CARTESIAN_POINT('Ctrl Pts',(-17.7714809471617,-5.7325,4.72548094716167))!#17269=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,4.78349364905389))!#17270=CARTESIAN_POINT('',(-17.671,-6.02,4.78349364905389))!#17271=CARTESIAN_POINT('',(-18.046,-5.76599364905389,4.56698729810778))!#17272=CARTESIAN_POINT('',(-18.046,-6.02,4.56698729810778))!#17273=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,4.56698729810778))!#17274=CARTESIAN_POINT('Ctrl Pts',(-17.9455190528383,-5.7325,4.625))!#17275=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,4.67524047358083))!#17276=CARTESIAN_POINT('Origin',(-18.421,-6.02,4.78349364905389))!#17277=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,4.67524047358083))!#17278=CARTESIAN_POINT('Ctrl Pts',(-18.1464809471617,-5.7325,4.625))!#17279=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,4.56698729810778))!#17280=CARTESIAN_POINT('',(-18.421,-5.76599364905389,4.78349364905389))!#17281=CARTESIAN_POINT('',(-18.421,-6.02,4.78349364905389))!#17282=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,4.78349364905388))!#17283=CARTESIAN_POINT('Ctrl Pts',(-18.3205190528383,-5.7325,4.72548094716167))!#17284=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,4.67524047358083))!#17285=CARTESIAN_POINT('Origin',(-18.421,-6.02,5.2165063509461))!#17286=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,4.99999999999999))!#17287=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,4.89951905283832))!#17288=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,4.78349364905389))!#17289=CARTESIAN_POINT('',(-18.421,-5.76599364905389,5.2165063509461))!#17290=CARTESIAN_POINT('',(-18.421,-6.02,5.2165063509461))!#17291=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,5.2165063509461))!#17292=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,5.10048094716167))!#17293=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.7325,4.99999999999999))!#17294=CARTESIAN_POINT('Origin',(-18.046,-6.02,5.43301270189221))!#17295=CARTESIAN_POINT('',(-18.046,-5.76599364905389,5.43301270189221))!#17296=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,5.43301270189221))!#17297=CARTESIAN_POINT('Ctrl Pts',(-18.1464809471617,-5.7325,5.37499999999999))!#17298=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,5.32475952641916))!#17299=CARTESIAN_POINT('Ctrl Pts',(-18.2335,-5.7325,5.32475952641916))!#17300=CARTESIAN_POINT('Ctrl Pts',(-18.3205190528383,-5.7325,5.27451905283832))!#17301=CARTESIAN_POINT('Ctrl Pts',(-18.421,-5.76599364905389,5.2165063509461))!#17302=CARTESIAN_POINT('',(-18.046,-6.02,5.43301270189221))!#17303=CARTESIAN_POINT('Origin',(-17.671,-6.02,5.2165063509461))!#17304=CARTESIAN_POINT('',(-17.671,-5.76599364905389,5.2165063509461))!#17305=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,5.2165063509461))!#17306=CARTESIAN_POINT('Ctrl Pts',(-17.7714809471617,-5.7325,5.27451905283832))!#17307=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,5.32475952641916))!#17308=CARTESIAN_POINT('Ctrl Pts',(-17.8585,-5.7325,5.32475952641916))!#17309=CARTESIAN_POINT('Ctrl Pts',(-17.9455190528383,-5.7325,5.37499999999999))!#17310=CARTESIAN_POINT('Ctrl Pts',(-18.046,-5.76599364905389,5.43301270189221))!#17311=CARTESIAN_POINT('',(-17.671,-6.02,5.2165063509461))!#17312=CARTESIAN_POINT('Origin',(-17.671,-6.02,4.78349364905389))!#17313=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,4.78349364905389))!#17314=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,4.89951905283832))!#17315=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,4.99999999999999))!#17316=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,4.99999999999999))!#17317=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.7325,5.10048094716167))!#17318=CARTESIAN_POINT('Ctrl Pts',(-17.671,-5.76599364905389,5.2165063509461))!#17319=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17320=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17321=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17322=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17323=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17324=CARTESIAN_POINT('Origin',(-18.046,-5.74924682452694,4.99999999999999))!#17325=CARTESIAN_POINT('Origin',(-18.046,-6.79500393700787,5.12499999999999))!#17326=CARTESIAN_POINT('',(-18.046,-6.79500393700787,5.20419999999999))!#17327=CARTESIAN_POINT('Origin',(-18.046,-6.79500393700787,4.99999999999999))!#17328=CARTESIAN_POINT('Origin',(-18.046,-6.77210196850394,4.99999999999999))!#17329=CARTESIAN_POINT('',(-18.046,-6.77210196850394,5.22709999999999))!#17330=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17331=CARTESIAN_POINT('',(-10.171,-5.7325,-5.))!#17332=CARTESIAN_POINT('',(-9.9835,-5.7325,-4.67524047358084))!#17333=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17334=CARTESIAN_POINT('',(-9.6085,-5.7325,-4.67524047358084))!#17335=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17336=CARTESIAN_POINT('',(-9.421,-5.7325,-5.))!#17337=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17338=CARTESIAN_POINT('',(-9.6085,-5.7325,-5.32475952641917))!#17339=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17340=CARTESIAN_POINT('',(-9.9835,-5.7325,-5.32475952641917))!#17341=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17342=CARTESIAN_POINT('Origin',(-9.796,-5.7325,-5.))!#17343=CARTESIAN_POINT('Origin',(-9.796,-6.02,-5.43301270189222))!#17344=CARTESIAN_POINT('',(-9.421,-5.76599364905389,-5.21650635094611))!#17345=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,-5.32475952641917))!#17346=CARTESIAN_POINT('Ctrl Pts',(-9.52148094716167,-5.7325,-5.27451905283833))!#17347=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,-5.21650635094611))!#17348=CARTESIAN_POINT('',(-9.421,-6.02,-5.21650635094611))!#17349=CARTESIAN_POINT('',(-9.796,-5.76599364905389,-5.43301270189222))!#17350=CARTESIAN_POINT('',(-9.796,-6.02,-5.43301270189222))!#17351=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,-5.43301270189222))!#17352=CARTESIAN_POINT('Ctrl Pts',(-9.69551905283832,-5.7325,-5.375))!#17353=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,-5.32475952641917))!#17354=CARTESIAN_POINT('Origin',(-10.171,-6.02,-5.21650635094611))!#17355=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,-5.32475952641917))!#17356=CARTESIAN_POINT('Ctrl Pts',(-9.89648094716167,-5.7325,-5.375))!#17357=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,-5.43301270189222))!#17358=CARTESIAN_POINT('',(-10.171,-5.76599364905389,-5.21650635094611))!#17359=CARTESIAN_POINT('',(-10.171,-6.02,-5.21650635094611))!#17360=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,-5.21650635094611))!#17361=CARTESIAN_POINT('Ctrl Pts',(-10.0705190528383,-5.7325,-5.27451905283833))!#17362=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,-5.32475952641917))!#17363=CARTESIAN_POINT('Origin',(-10.171,-6.02,-4.78349364905389))!#17364=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,-5.))!#17365=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,-5.10048094716167))!#17366=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,-5.21650635094611))!#17367=CARTESIAN_POINT('',(-10.171,-5.76599364905389,-4.78349364905389))!#17368=CARTESIAN_POINT('',(-10.171,-6.02,-4.78349364905389))!#17369=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,-4.78349364905389))!#17370=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,-4.89951905283833))!#17371=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.7325,-5.))!#17372=CARTESIAN_POINT('Origin',(-9.796,-6.02,-4.56698729810778))!#17373=CARTESIAN_POINT('',(-9.796,-5.76599364905389,-4.56698729810778))!#17374=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,-4.56698729810778))!#17375=CARTESIAN_POINT('Ctrl Pts',(-9.89648094716167,-5.7325,-4.625))!#17376=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,-4.67524047358084))!#17377=CARTESIAN_POINT('Ctrl Pts',(-9.9835,-5.7325,-4.67524047358084))!#17378=CARTESIAN_POINT('Ctrl Pts',(-10.0705190528383,-5.7325,-4.72548094716167))!#17379=CARTESIAN_POINT('Ctrl Pts',(-10.171,-5.76599364905389,-4.78349364905389))!#17380=CARTESIAN_POINT('',(-9.796,-6.02,-4.56698729810778))!#17381=CARTESIAN_POINT('Origin',(-9.421,-6.02,-4.78349364905389))!#17382=CARTESIAN_POINT('',(-9.421,-5.76599364905389,-4.78349364905389))!#17383=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,-4.78349364905389))!#17384=CARTESIAN_POINT('Ctrl Pts',(-9.52148094716167,-5.7325,-4.72548094716167))!#17385=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,-4.67524047358084))!#17386=CARTESIAN_POINT('Ctrl Pts',(-9.6085,-5.7325,-4.67524047358084))!#17387=CARTESIAN_POINT('Ctrl Pts',(-9.69551905283832,-5.7325,-4.625))!#17388=CARTESIAN_POINT('Ctrl Pts',(-9.796,-5.76599364905389,-4.56698729810778))!#17389=CARTESIAN_POINT('',(-9.421,-6.02,-4.78349364905389))!#17390=CARTESIAN_POINT('Origin',(-9.421,-6.02,-5.21650635094611))!#17391=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,-5.21650635094611))!#17392=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,-5.10048094716167))!#17393=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,-5.))!#17394=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,-5.))!#17395=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.7325,-4.89951905283833))!#17396=CARTESIAN_POINT('Ctrl Pts',(-9.421,-5.76599364905389,-4.78349364905389))!#17397=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17398=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17399=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17400=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17401=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17402=CARTESIAN_POINT('Origin',(-9.796,-5.74924682452694,-5.))!#17403=CARTESIAN_POINT('Origin',(-9.796,-6.79500393700787,-4.875))!#17404=CARTESIAN_POINT('',(-9.796,-6.79500393700787,-4.7958))!#17405=CARTESIAN_POINT('Origin',(-9.796,-6.79500393700787,-5.))!#17406=CARTESIAN_POINT('Origin',(-9.796,-6.77210196850394,-5.))!#17407=CARTESIAN_POINT('',(-9.796,-6.77210196850394,-4.7729))!174108=UNCLEDHETH-MEASERE(0.0003787401575),#17413,Diserence-ACCUACY_VALUE,Meximm模型空间距离17409=unacty_measure_With_UNIT(LENGTH_MEASERE(0.0003370787401575),#17413,'Disity_ACCY_VALUE','Meximm模型几何实体间空间距离c#17410=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#17408)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#17413,#17418,#17417)) REPRESENTATION_CONTEXT('','3D') )!#17411=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#17409)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#17413,#17418,#17417)) REPRESENTATION_CONTEXT('','3D') )!#17412=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.)!17413=(Conversion_BASED_UNIT#17416LENGTH_UNIT#17412)#17414=(LENGTH_UNIT(*)SI_UNIT(.MILLI.,.METERE))#17415=(LENGTH_UNIT(*)SI_UNIT(.CENTI.,.METERE))#17416=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#17414)!17417=SI_UNIT($.STRADIAN.)SOLID+ANGLEUNIT#17418=(Nationed_UNIT*)plane_ANGLE_UNIT(SI_UNIT($,.RADIAN.)) Q+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++#17419=SHAPE_DEFINITION_REPRESENTATION(#17420,#17421)!#17420=PRODUCT_DEFINITION_SHAPE('',$,#17423)!#17421=SHAPE_REPRESENTATION('',(#9650),#17410)!#17422=PRODUCT_DEFINITION_CONTEXT('part definition',#17427,'design')!#17423=PRODUCT_DEFINITION('Ws_30-30_256TC_SM_Shrinkwrap', 'Ws+_Ws+30-30_256TC_2.5x2.0_S-Line_20HP_SM',#17424,#17422)!#17424=PRODUCT_DEFINITION_FORMATION('',$,#17429)!#17425=PRODUCT_RELATED_PRODUCT_CATEGORY( 'Ws+_Ws+30-30_256TC_2.5x2.0_S-Line_20HP_SM', 'Ws+_Ws+30-30_256TC_2.5x2.0_S-Line_20HP_SM',(#17429))!#17426=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#17427)!17427=appliciationCONTEXT#17428=PRODUCT_CONTEXT('part definition',#17427,'mechanical')!#17429=PRODUCT('Ws_30-30_256TC_SM_Shrinkwrap', 'Ws+_Ws+30-30_256TC_2.5x2.0_S-Line_20HP_SM',$,(#17428))!#17430=PRESENTATION_STYLE_ASSIGNMENT((#17436))!#17431=PRESENTATION_STYLE_ASSIGNMENT((#17437))!#17432=PRESENTATION_STYLE_ASSIGNMENT((#17438))!#17433=PRESENTATION_STYLE_ASSIGNMENT((#17439))!#17434=PRESENTATION_STYLE_ASSIGNMENT((#17440))!#17435=PRESENTATION_STYLE_ASSIGNMENT((#17441))!#17436=SURFACE_STYLE_USAGE(.BOTH.,#17442)!#17437=SURFACE_STYLE_USAGE(.BOTH.,#17443)!#17438=SURFACE_STYLE_USAGE(.BOTH.,#17444)!#17439=SURFACE_STYLE_USAGE(.BOTH.,#17445)!#17440=SURFACE_STYLE_USAGE(.BOTH.,#17446)!#17441=SURFACE_STYLE_USAGE(.BOTH.,#17447)!#17442=SURFACE_SIDE_STYLE('',(#17448))!#17443=SURFACE_SIDE_STYLE('',(#17449))!#17444=SURFACE_SIDE_STYLE('',(#17450))!#17445=SURFACE_SIDE_STYLE('',(#17451))!#17446=SURFACE_SIDE_STYLE('',(#17452))!#17447=SURFACE_SIDE_STYLE('',(#17453))!#17448=SURFACE_STYLE_FILL_AREA(#17454)!#17449=SURFACE_STYLE_FILL_AREA(#17455)!#17450=SURFACE_STYLE_FILL_AREA(#17456)!#17451=SURFACE_STYLE_FILL_AREA(#17457)!#17452=SURFACE_STYLE_FILL_AREA(#17458)!#17453=SURFACE_STYLE_FILL_AREA(#17459)!#17454=FILL_AREA_STYLE('',(#17460))!#17455=FILL_AREA_STYLE('',(#17461))!#17456=FILL_AREA_STYLE('',(#17462))!#17457=FILL_AREA_STYLE('',(#17463))!#17458=FILL_AREA_STYLE('',(#17464))!#17459=FILL_AREA_STYLE('',(#17465))!#17460=FILL_AREA_STYLE_COLOUR('',#17466)!#17461=FILL_AREA_STYLE_COLOUR('',#17467)!#17462=FILL_AREA_STYLE_COLOUR('',#17468)!#17463=FILL_AREA_STYLE_COLOUR('',#17469)!#17464=FILL_AREA_STYLE_COLOUR('',#17470)!#17465=FILL_AREA_STYLE_COLOUR('',#17471)!#17466=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137)!#17467=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882)!#17468=COLOUR_RGB('',0.376470588235294,0.376470588235294,0.376470588235294)!#17469=COLOUR_RGB('',0.0509803921568627,0.615686274509804,0.0509803921568627)!#17470=COLOUR_RGB('',0.270588235294118,0.270588235294118,0.270588235294118)!#17471=COLOUR_RGB('',1.,1.,1.)!EndscripteEND-ISO-10303-21;